#################################################################################### # Generated by PlanAhead 14.6 built on 'Wed Jun 5 11:07:37 MDT 2013' by 'xbuild' #################################################################################### #################################################################################### # Constraints from file : 'AMC13T1.ucf' #################################################################################### #CONFIG PART = xc6vlx130t-1ff1156; #CONFIG PART = xc6vlx240t-1ff1156; #INST "i_MMCM_sysclk" LOC = "MMCM_ADV_X0Y0"; set_property LOC MMCM_ADV_X0Y1 [get_cells i_ttc_if/i_MMCM_CDRclk] set_property PACKAGE_PIN F11 [get_ports {VAUXN[0]}] set_property PACKAGE_PIN G11 [get_ports {VAUXP[0]}] set_property PACKAGE_PIN A14 [get_ports {VAUXN[1]}] set_property PACKAGE_PIN A13 [get_ports {VAUXP[1]}] set_property PACKAGE_PIN E14 [get_ports {VAUXN[2]}] set_property PACKAGE_PIN F14 [get_ports {VAUXP[2]}] set_property PACKAGE_PIN B13 [get_ports {VAUXN[4]}] set_property PACKAGE_PIN B12 [get_ports {VAUXP[4]}] set_property PACKAGE_PIN J12 [get_ports {VAUXN[5]}] set_property PACKAGE_PIN H12 [get_ports {VAUXP[5]}] set_property PACKAGE_PIN F13 [get_ports {VAUXN[7]}] set_property PACKAGE_PIN E13 [get_ports {VAUXP[7]}] set_property PACKAGE_PIN B10 [get_ports SPI_SCK] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:17 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SPI_SCK' has been applied to the port object 'SPI_SCK'. set_property IOSTANDARD LVCMOS25 [get_ports SPI_SCK] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SPI_SCK] # All timing constraint translations are rough conversions, intended to act as a template for further manual refinement. The translations should not be expected to produce semantically identical results to the original ucf. Each xdc timing constraint must be manually inspected and verified to ensure it captures the desired intent # In xdc, all clocks are related by default. This differs from ucf, where clocks are unrelated unless specified otherwise. As a result, you may now see cross-clock paths that were previously unconstrained in ucf. Commented out xdc false path constraints have been generated and can be uncommented, should you wish to remove these new paths. These commands are located after the last clock definition # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:20 create_clock -name SPI_SCK -period 250.000 [get_ports SPI_SCK] set_property PACKAGE_PIN A9 [get_ports SPI_CS_b] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:21 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SPI_CS_b' has been applied to the port object 'SPI_CS_b'. set_property IOSTANDARD LVCMOS25 [get_ports SPI_CS_b] set_property PACKAGE_PIN B8 [get_ports SPI_MOSI] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:22 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SPI_MOSI' has been applied to the port object 'SPI_MOSI'. set_property IOSTANDARD LVCMOS25 [get_ports SPI_MOSI] set_property PACKAGE_PIN A8 [get_ports SPI_MISO] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:23 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SPI_MISO' has been applied to the port object 'SPI_MISO'. set_property IOSTANDARD LVCMOS25 [get_ports SPI_MISO] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:23 # The conversion of 'SLEW' constraint on 'net' object 'SPI_MISO' has been applied to the port object 'SPI_MISO'. set_property SLEW SLOW [get_ports SPI_MISO] set_property PACKAGE_PIN AP24 [get_ports {SN[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:24 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[0]' has been applied to the port object 'SN[0]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:24 # The conversion of 'PULL' constraint on 'net' object 'SN[0]' has been applied to the port object 'SN[0]'. set_property PULLUP true [get_ports {SN[0]}] set_property PACKAGE_PIN AN24 [get_ports {SN[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:25 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[1]' has been applied to the port object 'SN[1]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:25 # The conversion of 'PULL' constraint on 'net' object 'SN[1]' has been applied to the port object 'SN[1]'. set_property PULLUP true [get_ports {SN[1]}] set_property PACKAGE_PIN AP25 [get_ports {SN[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:26 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[2]' has been applied to the port object 'SN[2]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:26 # The conversion of 'PULL' constraint on 'net' object 'SN[2]' has been applied to the port object 'SN[2]'. set_property PULLUP true [get_ports {SN[2]}] set_property PACKAGE_PIN AN25 [get_ports {SN[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:27 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[3]' has been applied to the port object 'SN[3]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:27 # The conversion of 'PULL' constraint on 'net' object 'SN[3]' has been applied to the port object 'SN[3]'. set_property PULLUP true [get_ports {SN[3]}] set_property PACKAGE_PIN AP26 [get_ports {SN[4]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:28 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[4]' has been applied to the port object 'SN[4]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[4]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:28 # The conversion of 'PULL' constraint on 'net' object 'SN[4]' has been applied to the port object 'SN[4]'. set_property PULLUP true [get_ports {SN[4]}] set_property PACKAGE_PIN AM26 [get_ports {SN[5]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:29 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[5]' has been applied to the port object 'SN[5]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[5]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:29 # The conversion of 'PULL' constraint on 'net' object 'SN[5]' has been applied to the port object 'SN[5]'. set_property PULLUP true [get_ports {SN[5]}] set_property PACKAGE_PIN AP27 [get_ports {SN[6]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:30 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[6]' has been applied to the port object 'SN[6]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[6]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:30 # The conversion of 'PULL' constraint on 'net' object 'SN[6]' has been applied to the port object 'SN[6]'. set_property PULLUP true [get_ports {SN[6]}] set_property PACKAGE_PIN AN27 [get_ports {SN[7]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:31 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SN[7]' has been applied to the port object 'SN[7]'. set_property IOSTANDARD LVCMOS25 [get_ports {SN[7]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:31 # The conversion of 'PULL' constraint on 'net' object 'SN[7]' has been applied to the port object 'SN[7]'. set_property PULLUP true [get_ports {SN[7]}] set_property PACKAGE_PIN AJ19 [get_ports DIV4] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:32 # The conversion of 'IOSTANDARD' constraint on 'net' object 'DIV4' has been applied to the port object 'DIV4'. set_property IOSTANDARD LVCMOS25 [get_ports DIV4] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:32 # The conversion of 'SLEW' constraint on 'net' object 'DIV4' has been applied to the port object 'DIV4'. set_property SLEW SLOW [get_ports DIV4] set_property PACKAGE_PIN AN15 [get_ports DIV_nRST] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:33 # The conversion of 'IOSTANDARD' constraint on 'net' object 'DIV_nRST' has been applied to the port object 'DIV_nRST'. set_property IOSTANDARD LVCMOS25 [get_ports DIV_nRST] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:33 # The conversion of 'DRIVE' constraint on 'net' object 'DIV_nRST' has been applied to the port object 'DIV_nRST'. set_property DRIVE 12 [get_ports DIV_nRST] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:33 # The conversion of 'SLEW' constraint on 'net' object 'DIV_nRST' has been applied to the port object 'DIV_nRST'. set_property SLEW FAST [get_ports DIV_nRST] set_property PACKAGE_PIN AC15 [get_ports CDRclk_p] # reversed polarity set_property PACKAGE_PIN AN17 [get_ports CDRdata_p] # reversed polarity set_property PACKAGE_PIN AP30 [get_ports TTS_out_p] set_property PACKAGE_PIN AE16 [get_ports TTCclk_p] # reversed polarity set_property PACKAGE_PIN AP16 [get_ports TTCdata_p] set_property PACKAGE_PIN AP29 [get_ports TTC_LOS] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:39 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TTC_LOS' has been applied to the port object 'TTC_LOS'. set_property IOSTANDARD LVCMOS25 [get_ports TTC_LOS] set_property PACKAGE_PIN AN29 [get_ports TTC_LOL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:40 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TTC_LOL' has been applied to the port object 'TTC_LOL'. set_property IOSTANDARD LVCMOS25 [get_ports TTC_LOL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:43 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name CDRclk_TTS -period 6.000 [get_pins i_ttc_if/i_CDRclk_TTS_buf/O] create_clock -name CDRclk_p -period 6.000 [get_ports CDRclk_p] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:45 create_clock -name TTCclk_p -period 24.000 [get_ports TTCclk_p] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:46 set_output_delay -clock [get_clocks CDRclk_p] -add_delay 1.000 [get_ports DIV_nRST] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:47 set_output_delay -clock [get_clocks CDRclk_p] -add_delay 2.000 [get_ports TTCdata_p] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:48 set_input_delay -clock [get_clocks CDRclk_p] -max 3.000 -add_delay [get_ports CDRdata_p] set_input_delay -clock [get_clocks CDRclk_p] -min 2.500 -add_delay [get_ports CDRdata_p] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:51 set_multicycle_path 2 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/TTC_str]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/TTC_str]] set_multicycle_path 2 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/TTC_str]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/toggle_channel]] set_multicycle_path 2 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/toggle_channel]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/TTC_str]] set_multicycle_path 2 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/toggle_channel]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/toggle_channel]] set_multicycle_path -hold 1 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/TTC_str]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/TTC_str]] set_multicycle_path -hold 1 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/TTC_str]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/toggle_channel]] set_multicycle_path -hold 1 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/toggle_channel]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/TTC_str]] set_multicycle_path -hold 1 -from [all_fanout -endpoints_only -only_cells -flat -from [get_nets i_ttc_if/toggle_channel]] -to [all_fanout -endpoints_only -flat -from [get_nets i_ttc_if/toggle_channel]] set_property PACKAGE_PIN AK5 [get_ports GbE_REFCLK_N] set_property PACKAGE_PIN AK6 [get_ports GbE_REFCLK_P] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:55 create_clock -name GbE_REFCLK_P -period 8.000 [get_ports GbE_REFCLK_P] set_property PACKAGE_PIN T5 [get_ports AMC_REFCLK_N] set_property PACKAGE_PIN T6 [get_ports AMC_REFCLK_P] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:59 create_clock -name AMC_REFCLK_P -period 4.000 [get_ports AMC_REFCLK_P] #AMC3 # reversed polarity set_property PACKAGE_PIN AC4 [get_ports AMC_RXN] # reversed polarity set_property PACKAGE_PIN AC3 [get_ports AMC_RXP] # reversed polarity set_property PACKAGE_PIN AB2 [get_ports AMC_TXN] # reversed polarity set_property PACKAGE_PIN AB1 [get_ports AMC_TXP] set_property PACKAGE_PIN AN28 [get_ports {LED[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:65 # The conversion of 'SLEW' constraint on 'net' object 'LED[0]' has been applied to the port object 'LED[0]'. set_property SLEW SLOW [get_ports {LED[0]}] set_property PACKAGE_PIN AM28 [get_ports {LED[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:66 # The conversion of 'SLEW' constraint on 'net' object 'LED[1]' has been applied to the port object 'LED[1]'. set_property SLEW SLOW [get_ports {LED[1]}] set_property PACKAGE_PIN AG33 [get_ports {LED[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:67 # The conversion of 'SLEW' constraint on 'net' object 'LED[2]' has been applied to the port object 'LED[2]'. set_property SLEW SLOW [get_ports {LED[2]}] set_property PACKAGE_PIN AF34 [get_ports {LED[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:68 # The conversion of 'SLEW' constraint on 'net' object 'LED[3]' has been applied to the port object 'LED[3]'. set_property SLEW SLOW [get_ports {LED[3]}] set_property PACKAGE_PIN P34 [get_ports {LED[4]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:69 # The conversion of 'SLEW' constraint on 'net' object 'LED[4]' has been applied to the port object 'LED[4]'. set_property SLEW SLOW [get_ports {LED[4]}] set_property PACKAGE_PIN N34 [get_ports {LED[5]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:70 # The conversion of 'SLEW' constraint on 'net' object 'LED[5]' has been applied to the port object 'LED[5]'. set_property SLEW SLOW [get_ports {LED[5]}] set_property PACKAGE_PIN C34 [get_ports {LED[6]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:71 # The conversion of 'SLEW' constraint on 'net' object 'LED[6]' has been applied to the port object 'LED[6]'. set_property SLEW SLOW [get_ports {LED[6]}] set_property PACKAGE_PIN B34 [get_ports {LED[7]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:72 # The conversion of 'SLEW' constraint on 'net' object 'LED[7]' has been applied to the port object 'LED[7]'. set_property SLEW SLOW [get_ports {LED[7]}] # reversed polarity set_property PACKAGE_PIN AJ10 [get_ports S2V_p] set_property PACKAGE_PIN AG12 [get_ports V2S_p] set_property PACKAGE_PIN U32 [get_ports {SFP_P[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:75 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_P[0]' has been applied to the port object 'SFP_P[0]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_P[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:75 # The conversion of 'PULL' constraint on 'net' object 'SFP_P[0]' has been applied to the port object 'SFP_P[0]'. set_property PULLUP true [get_ports {SFP_P[0]}] set_property PACKAGE_PIN E34 [get_ports {SFP_P[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:76 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_P[1]' has been applied to the port object 'SFP_P[1]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_P[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:76 # The conversion of 'PULL' constraint on 'net' object 'SFP_P[1]' has been applied to the port object 'SFP_P[1]'. set_property PULLUP true [get_ports {SFP_P[1]}] set_property PACKAGE_PIN D31 [get_ports {SFP_P[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:77 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_P[2]' has been applied to the port object 'SFP_P[2]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_P[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:77 # The conversion of 'PULL' constraint on 'net' object 'SFP_P[2]' has been applied to the port object 'SFP_P[2]'. set_property PULLUP true [get_ports {SFP_P[2]}] set_property PACKAGE_PIN AL29 [get_ports {SFP_P[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:78 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_P[3]' has been applied to the port object 'SFP_P[3]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_P[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:78 # The conversion of 'PULL' constraint on 'net' object 'SFP_P[3]' has been applied to the port object 'SFP_P[3]'. set_property PULLUP true [get_ports {SFP_P[3]}] set_property PACKAGE_PIN T33 [get_ports {SFP_LOS[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:79 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_LOS[0]' has been applied to the port object 'SFP_LOS[0]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_LOS[0]}] set_property PACKAGE_PIN E32 [get_ports {SFP_LOS[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:80 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_LOS[1]' has been applied to the port object 'SFP_LOS[1]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_LOS[1]}] set_property PACKAGE_PIN A33 [get_ports {SFP_LOS[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:81 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFP_LOS[2]' has been applied to the port object 'SFP_LOS[2]'. set_property IOSTANDARD LVCMOS25 [get_ports {SFP_LOS[2]}] set_property PACKAGE_PIN W30 [get_ports {TxFault[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:82 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxFault[0]' has been applied to the port object 'TxFault[0]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxFault[0]}] set_property PACKAGE_PIN H34 [get_ports {TxFault[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:83 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxFault[1]' has been applied to the port object 'TxFault[1]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxFault[1]}] set_property PACKAGE_PIN D34 [get_ports {TxFault[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:84 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxFault[2]' has been applied to the port object 'TxFault[2]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxFault[2]}] set_property PACKAGE_PIN AN30 [get_ports {TxFault[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:85 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxFault[3]' has been applied to the port object 'TxFault[3]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxFault[3]}] set_property PACKAGE_PIN V30 [get_ports {TxDisable[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:86 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxDisable[0]' has been applied to the port object 'TxDisable[0]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxDisable[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:86 # The conversion of 'SLEW' constraint on 'net' object 'TxDisable[0]' has been applied to the port object 'TxDisable[0]'. set_property SLEW SLOW [get_ports {TxDisable[0]}] set_property PACKAGE_PIN G32 [get_ports {TxDisable[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:87 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxDisable[1]' has been applied to the port object 'TxDisable[1]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxDisable[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:87 # The conversion of 'SLEW' constraint on 'net' object 'TxDisable[1]' has been applied to the port object 'TxDisable[1]'. set_property SLEW SLOW [get_ports {TxDisable[1]}] set_property PACKAGE_PIN E31 [get_ports {TxDisable[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:88 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxDisable[2]' has been applied to the port object 'TxDisable[2]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxDisable[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:88 # The conversion of 'SLEW' constraint on 'net' object 'TxDisable[2]' has been applied to the port object 'TxDisable[2]'. set_property SLEW SLOW [get_ports {TxDisable[2]}] set_property PACKAGE_PIN AM30 [get_ports {TxDisable[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:89 # The conversion of 'IOSTANDARD' constraint on 'net' object 'TxDisable[3]' has been applied to the port object 'TxDisable[3]'. set_property IOSTANDARD LVCMOS25 [get_ports {TxDisable[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:89 # The conversion of 'SLEW' constraint on 'net' object 'TxDisable[3]' has been applied to the port object 'TxDisable[3]'. set_property SLEW SLOW [get_ports {TxDisable[3]}] #NET "RATE<0>" LOC = "U33" |IOSTANDARD = LVCMOS25 |SLEW = SLOW; #NET "RATE<1>" LOC = "F33" |IOSTANDARD = LVCMOS25 |SLEW = SLOW; #NET "RATE<2>" LOC = "B33" |IOSTANDARD = LVCMOS25 |SLEW = SLOW; #NET "RATE<3>" LOC = "AK27" |IOSTANDARD = LVCMOS25 |SLEW = SLOW; set_property PACKAGE_PIN AP33 [get_ports {V6SDA[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:94 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SDA[0]' has been applied to the port object 'V6SDA[0]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SDA[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:94 # The conversion of 'DRIVE' constraint on 'net' object 'V6SDA[0]' has been applied to the port object 'V6SDA[0]'. set_property DRIVE 8 [get_ports {V6SDA[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:94 # The conversion of 'SLEW' constraint on 'net' object 'V6SDA[0]' has been applied to the port object 'V6SDA[0]'. set_property SLEW SLOW [get_ports {V6SDA[0]}] set_property PACKAGE_PIN AN34 [get_ports {V6SDA[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:95 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SDA[1]' has been applied to the port object 'V6SDA[1]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SDA[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:95 # The conversion of 'DRIVE' constraint on 'net' object 'V6SDA[1]' has been applied to the port object 'V6SDA[1]'. set_property DRIVE 8 [get_ports {V6SDA[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:95 # The conversion of 'SLEW' constraint on 'net' object 'V6SDA[1]' has been applied to the port object 'V6SDA[1]'. set_property SLEW SLOW [get_ports {V6SDA[1]}] set_property PACKAGE_PIN AL34 [get_ports {V6SDA[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:96 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SDA[2]' has been applied to the port object 'V6SDA[2]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SDA[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:96 # The conversion of 'DRIVE' constraint on 'net' object 'V6SDA[2]' has been applied to the port object 'V6SDA[2]'. set_property DRIVE 8 [get_ports {V6SDA[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:96 # The conversion of 'SLEW' constraint on 'net' object 'V6SDA[2]' has been applied to the port object 'V6SDA[2]'. set_property SLEW SLOW [get_ports {V6SDA[2]}] set_property PACKAGE_PIN AP32 [get_ports {V6SDA[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:97 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SDA[3]' has been applied to the port object 'V6SDA[3]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SDA[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:97 # The conversion of 'DRIVE' constraint on 'net' object 'V6SDA[3]' has been applied to the port object 'V6SDA[3]'. set_property DRIVE 8 [get_ports {V6SDA[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:97 # The conversion of 'SLEW' constraint on 'net' object 'V6SDA[3]' has been applied to the port object 'V6SDA[3]'. set_property SLEW SLOW [get_ports {V6SDA[3]}] set_property PACKAGE_PIN AN33 [get_ports {V6SCL[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:98 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SCL[0]' has been applied to the port object 'V6SCL[0]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SCL[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:98 # The conversion of 'DRIVE' constraint on 'net' object 'V6SCL[0]' has been applied to the port object 'V6SCL[0]'. set_property DRIVE 8 [get_ports {V6SCL[0]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:98 # The conversion of 'SLEW' constraint on 'net' object 'V6SCL[0]' has been applied to the port object 'V6SCL[0]'. set_property SLEW SLOW [get_ports {V6SCL[0]}] set_property PACKAGE_PIN AM33 [get_ports {V6SCL[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:99 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SCL[1]' has been applied to the port object 'V6SCL[1]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SCL[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:99 # The conversion of 'DRIVE' constraint on 'net' object 'V6SCL[1]' has been applied to the port object 'V6SCL[1]'. set_property DRIVE 8 [get_ports {V6SCL[1]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:99 # The conversion of 'SLEW' constraint on 'net' object 'V6SCL[1]' has been applied to the port object 'V6SCL[1]'. set_property SLEW SLOW [get_ports {V6SCL[1]}] set_property PACKAGE_PIN AL33 [get_ports {V6SCL[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:100 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SCL[2]' has been applied to the port object 'V6SCL[2]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SCL[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:100 # The conversion of 'DRIVE' constraint on 'net' object 'V6SCL[2]' has been applied to the port object 'V6SCL[2]'. set_property DRIVE 8 [get_ports {V6SCL[2]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:100 # The conversion of 'SLEW' constraint on 'net' object 'V6SCL[2]' has been applied to the port object 'V6SCL[2]'. set_property SLEW SLOW [get_ports {V6SCL[2]}] set_property PACKAGE_PIN AM32 [get_ports {V6SCL[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:101 # The conversion of 'IOSTANDARD' constraint on 'net' object 'V6SCL[3]' has been applied to the port object 'V6SCL[3]'. set_property IOSTANDARD LVCMOS15 [get_ports {V6SCL[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:101 # The conversion of 'DRIVE' constraint on 'net' object 'V6SCL[3]' has been applied to the port object 'V6SCL[3]'. set_property DRIVE 8 [get_ports {V6SCL[3]}] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:101 # The conversion of 'SLEW' constraint on 'net' object 'V6SCL[3]' has been applied to the port object 'V6SCL[3]'. set_property SLEW SLOW [get_ports {V6SCL[3]}] set_property PACKAGE_PIN AP11 [get_ports SFPOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:102 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFPOSC_SCL' has been applied to the port object 'SFPOSC_SCL'. set_property IOSTANDARD LVCMOS25 [get_ports SFPOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:102 # The conversion of 'DRIVE' constraint on 'net' object 'SFPOSC_SCL' has been applied to the port object 'SFPOSC_SCL'. set_property DRIVE 2 [get_ports SFPOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:102 # The conversion of 'SLEW' constraint on 'net' object 'SFPOSC_SCL' has been applied to the port object 'SFPOSC_SCL'. set_property SLEW SLOW [get_ports SFPOSC_SCL] set_property PACKAGE_PIN AN12 [get_ports SFPOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:103 # The conversion of 'IOSTANDARD' constraint on 'net' object 'SFPOSC_SDA' has been applied to the port object 'SFPOSC_SDA'. set_property IOSTANDARD LVCMOS25 [get_ports SFPOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:103 # The conversion of 'DRIVE' constraint on 'net' object 'SFPOSC_SDA' has been applied to the port object 'SFPOSC_SDA'. set_property DRIVE 2 [get_ports SFPOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:103 # The conversion of 'SLEW' constraint on 'net' object 'SFPOSC_SDA' has been applied to the port object 'SFPOSC_SDA'. set_property SLEW SLOW [get_ports SFPOSC_SDA] set_property PACKAGE_PIN A10 [get_ports AMCOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:104 # The conversion of 'IOSTANDARD' constraint on 'net' object 'AMCOSC_SCL' has been applied to the port object 'AMCOSC_SCL'. set_property IOSTANDARD LVCMOS25 [get_ports AMCOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:104 # The conversion of 'DRIVE' constraint on 'net' object 'AMCOSC_SCL' has been applied to the port object 'AMCOSC_SCL'. set_property DRIVE 2 [get_ports AMCOSC_SCL] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:104 # The conversion of 'SLEW' constraint on 'net' object 'AMCOSC_SCL' has been applied to the port object 'AMCOSC_SCL'. set_property SLEW SLOW [get_ports AMCOSC_SCL] set_property PACKAGE_PIN C10 [get_ports AMCOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:105 # The conversion of 'IOSTANDARD' constraint on 'net' object 'AMCOSC_SDA' has been applied to the port object 'AMCOSC_SDA'. set_property IOSTANDARD LVCMOS25 [get_ports AMCOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:105 # The conversion of 'DRIVE' constraint on 'net' object 'AMCOSC_SDA' has been applied to the port object 'AMCOSC_SDA'. set_property DRIVE 2 [get_ports AMCOSC_SDA] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:105 # The conversion of 'SLEW' constraint on 'net' object 'AMCOSC_SDA' has been applied to the port object 'AMCOSC_SDA'. set_property SLEW SLOW [get_ports AMCOSC_SDA] set_property PACKAGE_PIN AJ4 [get_ports S6LINK_RXN] set_property PACKAGE_PIN AJ3 [get_ports S6LINK_RXP] set_property PACKAGE_PIN AK2 [get_ports S6LINK_TXN] set_property PACKAGE_PIN AK1 [get_ports S6LINK_TXP] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:111 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name ipb_clk -period 32.000 [get_pins i_ipb_clk_buf/O] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:113 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name sysclk -period 8.000 [get_pins i_sysclk_buf/O] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:115 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name fake_clk -period 3.500 [get_pins i_fake_clk_buf/O] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:117 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name EventDataClk -period 14.000 [get_pins i_EventDataClk_buf/O] # /home/dan/work/CMS/tmp/fake/remote_sources/_/amc13_fake/AMC13T1.ucf:119 # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name UsrClk -period 4.000 [get_pins i_DAQ_LINK/i_UsrClk/O] # A PERIOD placed on an internal net will result in a clock defined with an internal source. Any upstream source clock latency will not be analyzed create_clock -name fake_clk_1 -period 4.000 [get_pins i_fake_clk_buf/O] # The following cross clock domain false path constraints can be uncommented in order to mimic ucf constraints behavior (see message at the beginning of this file) # set_false_path -from [get_clocks SPI_SCK] -to [get_clocks [list CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks [list CDRclk_TTS CDRclk_p]] -to [get_clocks [list SPI_SCK TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks TTCclk_p] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks GbE_REFCLK_P] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p AMC_REFCLK_P ipb_clk sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks AMC_REFCLK_P] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P ipb_clk sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks ipb_clk] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P sysclk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks sysclk] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk fake_clk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks fake_clk] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk EventDataClk UsrClk fake_clk_1]] # set_false_path -from [get_clocks EventDataClk] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk fake_clk UsrClk fake_clk_1]] # set_false_path -from [get_clocks [list UsrClk fake_clk_1]] -to [get_clocks [list SPI_SCK CDRclk_TTS CDRclk_p TTCclk_p GbE_REFCLK_P AMC_REFCLK_P ipb_clk sysclk fake_clk EventDataClk]]