v Command: %s 53* vivadotcl2E 1synth_design -top AMC13_T1 -part xc7k325tffg900-22default:defaultZ4-113hpx : Starting synth_design 149* vivadotclZ4-321hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2 Synthesis2default:default2 xc7k325t2default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2 Synthesis2default:default2 xc7k325t2default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2021.012default:defaultZ17-1540hpx W Loading part %s157*device2$ xc7k325tffg900-22default:defaultZ21-403hpx  HMultithreading enabled for synth_design using a maximum of %s processes.4828*oasys2 22default:defaultZ8-7079hpx a ?Launching helper process for spawning children vivado processes4827*oasysZ8-7078hpx _ #Helper process launched with PID %s4824*oasys2 71482default:defaultZ8-7075hpx  %s *synth2 xStarting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1076.594 ; gain = 48.629 2default:defaulthp x   synthesizing module '%s'638*oasys2 AMC13_T12default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 1262default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 TTS_if2default:default2? +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 572default:default2 i_TTS_if2default:default2 TTS_if2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 9272default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 TTS_if2default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 672default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 Gray52default:default2> *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 322default:default2& i_next_TTS_fifo_wa2default:default2 Gray52default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 1332default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 Gray52default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 372default:default8@Z8-638hpx l %s *synth2T @ Parameter INIT bound to: 32'b00110011001100110011001100110011 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_d_next2default:default2 ROM32X12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 422default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00111100001111000011110000111100 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_d_next2default:default2 ROM32X12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 422default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00001111111100000000111111110000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_d_next2default:default2 ROM32X12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 422default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000111111111111111100000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_d_next2default:default2 ROM32X12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 422default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_d_next2default:default2 ROM32X12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 422default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 Gray52default:default2 12default:default2 12default:default2@ *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 372default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TTS_FIFO2default:default2 RAM32x6D2default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 1372default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 RAM32x6D2default:default2A +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 432default:default8@Z8-638hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 RAM32M_inst2default:default2 RAM32M2default:default2A +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 552default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 RAM32x6D2default:default2 22default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 432default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 Gray52default:default2> *D:/amc13-firmware/src/common/TTS/Gray5.vhd2default:default2 322default:default2& i_next_TTS_fifo_ra2default:default2 Gray52default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 1492default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2) encode_8b10b_lut_base2default:default2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 972default:default8@Z8-638hpx b %s *synth2J 6 Parameter C_HAS_DISP_IN bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_HAS_FORCE_CODE bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter C_FORCE_CODE_VAL bound to: 1010101010 - type: string 2default:defaulthp x  f %s *synth2N : Parameter C_FORCE_CODE_DISP bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter C_HAS_ND bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter C_HAS_KERR bound to: 1 - type: integer 2default:defaulthp x   default block is never used226*oasys2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 1892default:default8@Z8-226hpx  default block is never used226*oasys2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 2922default:default8@Z8-226hpx  default block is never used226*oasys2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 3382default:default8@Z8-226hpx  default block is never used226*oasys2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 3962default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2) encode_8b10b_lut_base2default:default2 32default:default2 12default:default2P :D:/amc13-firmware/src/common/TTS/encode_8b10b_lut_base.vhd2default:default2 972default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b11100110000000001111111111111111 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_SendBC02default:default2 ROM32X12default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 2582default:default8@Z8-113hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TTS_out2default:default2 OBUFDS2default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 2692default:default8@Z8-113hpx h %s *synth2P < Parameter DDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_C_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D2_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter SRTYPE bound to: SYNC - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 ODDR_inst2default:default2 ODDR2default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 2702default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 TTS_if2default:default2 42default:default2 12default:default2A +D:/amc13-firmware/src/common/TTS/TTS_if.vhd2default:default2 672default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 I2C2default:default2< (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 382default:default2 i_I2C2default:default2 I2C2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 9652default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 I2C2default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 542default:default8@Z8-638hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_CLK_rdy2default:default2 SRLC32E2default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 912default:default8@Z8-113hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 1 - type: integer 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2" BRAM_SDP_MACRO2default:default2U AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 382default:default2 i_I2C_buf2default:default2" BRAM_SDP_MACRO2default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 2412default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2+ unimacro_BRAM_SDP_MACRO2default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: SDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 36 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 1 - type: integer 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 ram18sd_bl12default:default2 RAMB18E12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 24922default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2+ unimacro_BRAM_SDP_MACRO2default:default2 52default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b10000101000000000000010100000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_data2default:default2 ROM32X12default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 2852default:default8@Z8-113hpx  %s *synth2  Parameter INIT bound to: 256'b1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Si5338ROM2default:default2 ROM256X12default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 2962default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 I2C2default:default2 62default:default2 12default:default2> (D:/amc13-firmware/src/common/I2C/I2C.vhd2default:default2 542default:default8@Z8-256hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_SPI_SCK_buf2default:default2 BUFH2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 9792default:default8@Z8-113hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 SPI_if2default:default2? +D:/amc13-firmware/src/common/SPI/SPI_if.vhd2default:default2 362default:default2 i_SPI_if2default:default2 SPI_if2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 9802default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 SPI_if2default:default2A +D:/amc13-firmware/src/common/SPI/SPI_if.vhd2default:default2 532default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 SPI_if2default:default2 72default:default2 12default:default2A +D:/amc13-firmware/src/common/SPI/SPI_if.vhd2default:default2 532default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ttc_if2default:default2? +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 332default:default2 i_ttc_if2default:default2 ttc_if2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 9962default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ttc_if2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 1142default:default8@Z8-638hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DIFF_TERM bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_CDRclk_in2default:default2 IBUFGDS2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4062default:default8@Z8-113hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKFBOUT_MULT bound to: 10 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 6.250000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.010000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2! i_MMCM_CDRclk2default:default2 PLLE2_BASE2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4072default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2 i_CDRclk_buf2default:default2 BUFG2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4252default:default8@Z8-113hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 5.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT0_DIVIDE bound to: 10 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.010000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TTCclk1602default:default2 PLLE2_BASE2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4612default:default8@Z8-113hpx b %s *synth2J 6 Parameter CE_TYPE_CE0 bound to: SYNC - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CE_TYPE_CE1 bound to: SYNC - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter INIT_OUT bound to: 0 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter IS_CE0_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CE1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_I0_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_I1_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_IGNORE0_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_IGNORE1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_S0_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_S1_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter PRESELECT_I0 bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter PRESELECT_I1 bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter SIM_DEVICE bound to: ULTRASCALE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_SYNC bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2! i_TTS_clk_buf2default:default2 BUFGCTRL2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4762default:default8@Z8-113hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DIFF_TERM bound to: 1 - type: bool 2default:defaulthp x  ` %s *synth2H 4 Parameter DQS_BIAS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  f %s *synth2N : Parameter IFD_DELAY_VALUE bound to: AUTO - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_CDRdata2default:default2 IBUFDS2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4942default:default8@Z8-113hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TTCdata2default:default2 OBUFDS2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 4952default:default8@Z8-113hpx c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 SCRAMBLER2default:default2> *D:/amc13-firmware/src/common/scrambler.vhd2default:default2 722default:default2 i_SCRAMBLER2default:default2 SCRAMBLER2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 6052default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 SCRAMBLER2default:default2@ *D:/amc13-firmware/src/common/scrambler.vhd2default:default2 922default:default8@Z8-638hpx c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 SCRAMBLER2default:default2 82default:default2 12default:default2@ *D:/amc13-firmware/src/common/scrambler.vhd2default:default2 922default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 Threshold2default:default2E 1D:/amc13-firmware/src/common/TTC/thresholdNew.vhd2default:default2 362default:default2 i_Threshold2default:default2 Threshold2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 9802default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 Threshold2default:default2G 1D:/amc13-firmware/src/common/TTC/thresholdNew.vhd2default:default2 432default:default8@Z8-638hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  ` %s *synth2H 4 Parameter IS_OPMODE_INVERTED bound to: 7'b0000000 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  [ %s *synth2C / Parameter USE_DPORT bound to: 0 - type: bool 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E1_inst2default:default2 DSP48E12default:default2G 1D:/amc13-firmware/src/common/TTC/thresholdNew.vhd2default:default2 1192default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 Threshold2default:default2 92default:default2 12default:default2G 1D:/amc13-firmware/src/common/TTC/thresholdNew.vhd2default:default2 432default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_L1Accept2default:default2 SRL16E2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 9872default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_brcst_str12default:default2 SRL16E2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 9982default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_brcst_str32default:default2 SRL16E2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10092default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_ttc_sync2default:default2 RAM32X1D2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 10522default:default8@Z8-113hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ttc_cmd2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ttc_cmd_cfg2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 gap_begin2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 gap_end2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 OcNresetCmd2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ReSyncCmd2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 L1A_rate2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 L1A_rate_q2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 DBCmd2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 L1A_delay2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 13532default:default8@Z8-614hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter READ_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2" BRAM_SDP_MACRO2default:default2U AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 382default:default2 i_TTC_L1A2default:default2" BRAM_SDP_MACRO2default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 14092default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized02default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter READ_WIDTH bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 ram18_bl2default:default2 RAMB18E12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 23742default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized02default:default2 92default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ttc_if2default:default2 102default:default2 12default:default2A +D:/amc13-firmware/src/common/TTC/ttc_if.vhd2default:default2 1142default:default8@Z8-256hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DIFF_TERM bound to: 1 - type: bool 2default:defaulthp x  ` %s *synth2H 4 Parameter DQS_BIAS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  f %s *synth2N : Parameter IFD_DELAY_VALUE bound to: AUTO - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_S2V2default:default2 IBUFDS2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 10772default:default8@Z8-113hpx [ %s *synth2C / Parameter CLKCM_CFG bound to: 1 - type: bool 2default:defaulthp x  ] %s *synth2E 1 Parameter CLKRCV_TRST bound to: 1 - type: bool 2default:defaulthp x  U %s *synth2= ) Parameter CLKSWING_CFG bound to: 2'b11 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_GbE_REFCLK2default:default2 IBUFDS_GTE22default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 10882default:default8@Z8-113hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DIFF_TERM bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: LVDS_25 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TTCclk_in2default:default2 IBUFGDS2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 10972default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_TTC_CLK_buf2default:default2 BUFG2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11032default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2# i_sysclk_in_buf2default:default2 BUFH2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11042default:default8@Z8-113hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 8.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 5 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT1_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 20 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_PLL_sysclk2default:default2 PLLE2_BASE2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11052default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2 i_clk125_buf2default:default2 BUFG2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11362default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_ipb_clk_buf2default:default2 BUFG2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11372default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2 i_DRPclk_buf2default:default2 BUFG2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11382default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2 i_sysclk_buf2default:default2 BUFG2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11392default:default8@Z8-113hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_if2default:default2? +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 362default:default2 i_ddr_if2default:default2 ddr_if2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 11512default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ddr_if2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 1012default:default8@Z8-638hpx i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter SIMULATION bound to: FALSE - type: string 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_rport2default:default2B .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 382default:default2 i_ddr_rport2default:default2 ddr_rport2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 7702default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ddr_rport2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 792default:default8@Z8-638hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_TCPqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4112default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_Oqueue2default:default2 SRL16E2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4242default:default8@Z8-113hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-1132default:default2 1002default:defaultZ17-14hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_queue2default:default2 RAM32x6D2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4372default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_queue2default:default2 RAM32x6D2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4372default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_queue2default:default2 RAM32x6D2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4372default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_queue2default:default2 RAM32x6D2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4372default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_queue2default:default2 RAM32x6D2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4372default:default8@Z8-3491hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 lengthCntr2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 4522default:default8@Z8-614hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2! FIFO_RESET_7S2default:default2B .D:/amc13-firmware/src/common/FIFO_RESET_7S.vhd2default:default2 322default:default2# i_FIFO_RESET_7S2default:default2! FIFO_RESET_7S2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 6692default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2! FIFO_RESET_7S2default:default2D .D:/amc13-firmware/src/common/FIFO_RESET_7S.vhd2default:default2 392default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! FIFO_RESET_7S2default:default2 112default:default2 12default:default2D .D:/amc13-firmware/src/common/FIFO_RESET_7S.vhd2default:default2 392default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_rbuf2default:default2( FIFO_DUALCLOCK_MACRO2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 6762default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys21 unimacro_FIFO_DUALCLOCK_MACRO2default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 72 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  e %s *synth2M 9 Parameter FIFO_MODE bound to: FIFO36_72 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys21 unimacro_FIFO_DUALCLOCK_MACRO2default:default2 122default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_rbuf2default:default2( FIFO_DUALCLOCK_MACRO2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 6762default:default8@Z8-3491hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_rbuf2default:default2( FIFO_DUALCLOCK_MACRO2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 6762default:default8@Z8-3491hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_rbuf2default:default2( FIFO_DUALCLOCK_MACRO2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 6762default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 RAM32x6Db2default:default2G 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 412default:default8@Z8-638hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 RAM32x6Db2default:default2 132default:default2 12default:default2G 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 412default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6Db2default:default2E 1D:/amc13-firmware/src/common/AMC_if/RAM32x6Db.vhd2default:default2 322default:default2 i_ipbus_rbuf2default:default2 RAM32x6Db2default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 7052default:default8@Z8-3491hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 ddr_rport2default:default2 142default:default2 12default:default2D .D:/amc13-firmware/src/common/DDR/ddr_rport.vhd2default:default2 792default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2! FIFO_RESET_7S2default:default2B .D:/amc13-firmware/src/common/FIFO_RESET_7S.vhd2default:default2 322default:default2# i_FIFO_RESET_7S2default:default2! FIFO_RESET_7S2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 8052default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_wportA2default:default2C /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 382default:default2 i_ddr_wportA2default:default2 ddr_wportA2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 8122default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ddr_wportA2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 692default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_AddrQueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 1732default:default8@Z8-3491hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_AddrQueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 1732default:default8@Z8-3491hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_FIFO2default:default2( FIFO_DUALCLOCK_MACRO2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 2642default:default8@Z8-3491hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_FIFO2default:default2( FIFO_DUALCLOCK_MACRO2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 2642default:default8@Z8-3491hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 64 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_FIFO2default:default2( FIFO_DUALCLOCK_MACRO2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 2642default:default8@Z8-3491hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000011111 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 66 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( FIFO_DUALCLOCK_MACRO2default:default2[ GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 362default:default2 i_FIFO32default:default2( FIFO_DUALCLOCK_MACRO2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 2902default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized02default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000011111 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 66 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000011111 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 72 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  e %s *synth2M 9 Parameter FIFO_MODE bound to: FIFO36_72 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized02default:default2 142default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ddr_wportA2default:default2 152default:default2 12default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 692default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_wportA2default:default2C /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 382default:default2 i_ddr_wportA2default:default2 ddr_wportA2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 8122default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_wportA2default:default2C /D:/amc13-firmware/src/common/DDR/ddr_wportA.vhd2default:default2 382default:default2 i_ddr_wportA2default:default2 ddr_wportA2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 8122default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ddr_wportB2default:default2C /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 382default:default2 i_ddr_wportB2default:default2 ddr_wportB2default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 8422default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ddr_wportB2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 762default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 RAM32x6D2default:default2? +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 322default:default2 i_TCPqueue2default:default2 RAM32x6D2default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 2312default:default8@Z8-3491hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-34912default:default2 1002default:defaultZ17-14hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 ddr_wportB2default:default2 162default:default2 12default:default2E /D:/amc13-firmware/src/common/DDR/ddr_wportB.vhd2default:default2 762default:default8@Z8-256hpx _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQ_CNT_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_PER_DM bound to: 8 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter PHY_CONTROL_MASTER_BANK bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter MEM_DENSITY bound to: 2Gb - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter MEM_SPEEDGRADE bound to: 107E - type: string 2default:defaulthp x  f %s *synth2N : Parameter MEM_DEVICE_WIDTH bound to: 16 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLKIN_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT0_PHASE bound to: 337.500000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter SIMULATION bound to: FALSE - type: string 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00000001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  g %s *synth2O ; Parameter REFCLK_TYPE bound to: NO_BUFFER - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_WIDTH bound to: HALF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  g %s *synth2O ; Parameter DIFF_TERM_REFCLK bound to: TRUE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DIFF_TERM_SYSCLK bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter TEMP_MON_CONTROL bound to: EXTERNAL - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 ddr3_1_9a2default:default2O 9D:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ddr3_1_9a.vhd2default:default2 5262default:default8@Z8-638hpx _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQ_CNT_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_PER_DM bound to: 8 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter PHY_CONTROL_MASTER_BANK bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter MEM_DENSITY bound to: 2Gb - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter MEM_SPEEDGRADE bound to: 107E - type: string 2default:defaulthp x  f %s *synth2N : Parameter MEM_DEVICE_WIDTH bound to: 16 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLKIN_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT0_PHASE bound to: 337.500000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter SIMULATION bound to: FALSE - type: string 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00000001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  g %s *synth2O ; Parameter REFCLK_TYPE bound to: NO_BUFFER - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SYS_RST_PORT bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_WIDTH bound to: HALF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  g %s *synth2O ; Parameter DIFF_TERM_REFCLK bound to: TRUE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DIFF_TERM_SYSCLK bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter TEMP_MON_CONTROL bound to: EXTERNAL - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  g %s *synth2O ; Parameter REFCLK_TYPE bound to: NO_BUFFER - type: string 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SYS_RST_PORT bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter DIFF_TERM_REFCLK bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys21 mig_7series_v1_9_iodelay_ctrl2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_iodelay_ctrl.v2default:default2 802default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  g %s *synth2O ; Parameter REFCLK_TYPE bound to: NO_BUFFER - type: string 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SYS_RST_PORT bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter DIFF_TERM_REFCLK bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter RST_SYNC_NUM bound to: 15 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 IDELAYCTRL2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 350602default:default8@Z8-6157hpx d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 IDELAYCTRL2default:default2 2default:default2 172default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 350602default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys21 mig_7series_v1_9_iodelay_ctrl2default:default2 2default:default2 182default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_iodelay_ctrl.v2default:default2 802default:default8@Z8-6155hpx j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  h %s *synth2P < Parameter DIFF_TERM_SYSCLK bound to: FALSE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2- mig_7series_v1_9_clk_ibuf2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_clk_ibuf.v2default:default2 682default:default8@Z8-6157hpx j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  h %s *synth2P < Parameter DIFF_TERM_SYSCLK bound to: FALSE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 IBUFGDS2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 334622default:default8@Z8-6157hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter DIFF_TERM bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LOW_PWR bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 IBUFGDS2default:default2 2default:default2 192default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 334622default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2- mig_7series_v1_9_clk_ibuf2default:default2 2default:default2 202default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_clk_ibuf.v2default:default2 682default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter TEMP_MON_CONTROL bound to: EXTERNAL - type: string 2default:defaulthp x  g %s *synth2O ; Parameter XADC_CLK_PERIOD bound to: 5000 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter tTEMPSAMPLE bound to: 10000000 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2, mig_7series_v1_9_tempmon2default:default2 2default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_tempmon.v2default:default2 692default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter TEMP_MON_CONTROL bound to: EXTERNAL - type: string 2default:defaulthp x  g %s *synth2O ; Parameter XADC_CLK_PERIOD bound to: 5000 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter tTEMPSAMPLE bound to: 10000000 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2, mig_7series_v1_9_tempmon2default:default2 2default:default2 212default:default2 12default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_tempmon.v2default:default2 692default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKIN_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT0_PHASE bound to: 337.500000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys23 mig_7series_v1_9_infrastructure2default:default2 2default:default2l VD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_infrastructure.v2default:default2 782default:default8@Z8-6157hpx b %s *synth2J 6 Parameter SIMULATION bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKIN_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SYSCLK_TYPE bound to: DIFFERENTIAL - type: string 2default:defaulthp x  g %s *synth2O ; Parameter UI_EXTRA_CLOCKS bound to: FALSE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT0_PHASE bound to: 337.500000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MMCM_CLKOUT0_EN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MMCM_CLKOUT1_EN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MMCM_CLKOUT2_EN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MMCM_CLKOUT3_EN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MMCM_CLKOUT4_EN bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter MMCM_CLKOUT0_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MMCM_CLKOUT1_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MMCM_CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MMCM_CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MMCM_CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RST_ACT_LOW bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RST_SYNC_NUM bound to: 25 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RST_DIV_SYNC_NUM bound to: 13 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter CLKIN1_PERIOD_NS bound to: 4.288000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter VCO_PERIOD bound to: 536 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CLKOUT0_PERIOD bound to: 1072 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CLKOUT1_PERIOD bound to: 1072 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter CLKOUT2_PERIOD bound to: 17152 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CLKOUT3_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CLKOUT4_PERIOD bound to: 2144 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT4_PHASE bound to: 168.750000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKOUT3_PERIOD_NS bound to: 4.288000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKOUT4_PERIOD_NS bound to: 2.144000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter MMCM_VCO_MIN_FREQ bound to: 600 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter MMCM_VCO_MAX_FREQ bound to: 1200 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MMCM_VCO_MIN_PERIOD bound to: 833.333333 - type: double 2default:defaulthp x  q %s *synth2Y E Parameter MMCM_VCO_MAX_PERIOD bound to: 1666.666667 - type: double 2default:defaulthp x  j %s *synth2R > Parameter MMCM_MULT_F_MID bound to: 3.430400 - type: double 2default:defaulthp x  r %s *synth2Z F Parameter MMCM_EXPECTED_PERIOD bound to: 1250.000000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter MMCM_MULT_F bound to: 3.430400 - type: double 2default:defaulthp x  h %s *synth2P < Parameter MMCM_VCO_FREQ bound to: 0.800000 - type: double 2default:defaulthp x  m %s *synth2U A Parameter MMCM_VCO_PERIOD bound to: 1250.000000 - type: double 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 MMCME2_ADV2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 399982default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter BANDWIDTH bound to: HIGH - type: string 2default:defaulthp x  j %s *synth2R > Parameter CLKFBOUT_MULT_F bound to: 3.430400 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 4.288000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN2_PERIOD bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT0_DIVIDE_F bound to: 3.430400 - type: double 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT6_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter COMPENSATION bound to: BUF_IN - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_PSEN_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_PWRDWN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.000000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER2 bound to: 0.010000 - type: double 2default:defaulthp x  ] %s *synth2E 1 Parameter SS_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SS_MODE bound to: CENTER_HIGH - type: string 2default:defaulthp x  f %s *synth2N : Parameter SS_MOD_PERIOD bound to: 10000 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 MMCME2_ADV2default:default2 2default:default2 222default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 399982default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 PLLE2_ADV2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 615262default:default8@Z8-6157hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 4.288000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN2_PERIOD bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT0_PHASE bound to: 337.500000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 2 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.062500 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 9.843750 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 4 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  j %s *synth2R > Parameter CLKOUT4_PHASE bound to: 168.750000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  g %s *synth2O ; Parameter COMPENSATION bound to: INTERNAL - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_PWRDWN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.010000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER2 bound to: 0.010000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 PLLE2_ADV2default:default2 2default:default2 232default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 615262default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 BUFH2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 13192default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 BUFH2default:default2 2default:default2 242default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 13192default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 BUFG2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 10832default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 BUFG2default:default2 2default:default2 252default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 10832default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys23 mig_7series_v1_9_infrastructure2default:default2 2default:default2 262default:default2 12default:default2l VD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/clocking/mig_7series_v1_9_infrastructure.v2default:default2 782default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQ_CNT_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter APP_DATA_WIDTH bound to: 256 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter APP_MASK_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00000001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter TEMP_MON_EN bound to: ON - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_WIDTH bound to: HALF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys24 mig_7series_v1_9_memc_ui_top_std2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ip_top/mig_7series_v1_9_memc_ui_top_std.v2default:default2 722default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter DATA_BUF_OFFSET_WIDTH bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQ_CNT_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter TEMP_MON_EN bound to: ON - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_WIDTH bound to: HALF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter APP_MASK_WIDTH bound to: 32 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter APP_DATA_WIDTH bound to: 256 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00000001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2. mig_7series_v1_9_mem_intfc2default:default2 2default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ip_top/mig_7series_v1_9_mem_intfc.v2default:default2 702default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter DATA_BUF_OFFSET_WIDTH bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQ_CNT_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PHYCTL_CMD_FIFO bound to: FALSE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PHASE_DETECT bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_WIDTH bound to: HALF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00000001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  ^ %s *synth2F 2 Parameter nDQS_COL0 bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nDQS_COL1 bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nDQS_COL2 bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nDQS_COL3 bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter DQS_LOC_COL0 bound to: 144'b000100010001000000001111000011100000110100001100000010110000101000001001000010000000011100000110000001010000010000000011000000100000000100000000 2default:defaulthp x  a %s *synth2I 5 Parameter DQS_LOC_COL1 bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQS_LOC_COL2 bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DQS_LOC_COL3 bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter TEMP_MON_EN bound to: ON - type: string 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter SLOT_0_CONFIG_MC bound to: 8'b00001111 2default:defaulthp x  _ %s *synth2G 3 Parameter SLOT_1_CONFIG_MC bound to: 8'b00000000 2default:defaulthp x  Z %s *synth2B . Parameter CWL_T bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCL bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCWL bound to: 9 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2' mig_7series_v1_9_mc2default:default2 2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_mc.v2default:default2 732default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CMD_PIPE_PLUS1 bound to: ON - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter DATA_BUF_OFFSET_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_WIDTH bound to: 8 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MAINT_PRESCALER_PERIOD bound to: 200000 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter nREFRESH_BANK bound to: 1 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PAYLOAD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00001111 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tCKE bound to: 5000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tFAW bound to: 25000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRAS bound to: 34000 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tRCD bound to: 13910 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tREFI bound to: 7800000 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRP bound to: 13910 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRRD bound to: 5000 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tRTP bound to: 7500 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter tWTR bound to: 7500 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter tZQI bound to: 128000000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter tPRDI bound to: 1000000 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter USER_REFRESH bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter nPHY_WRLAT bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DELAY_WR_DATA_CNTRL bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nCKE bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRAS bound to: 32 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nFAW bound to: 24 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nRFC bound to: 150 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter nWR_CK bound to: 14 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWR bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter nRRD_CK bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRRD bound to: 5 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter nWTR_CK bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWTR bound to: 7 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter nRTP_CK bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter CWL_M bound to: 9 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter CL_M bound to: 13 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQRD2DQWR_DLY bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nCKESR bound to: 6 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter tXSDLL bound to: 512 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter MAINT_PRESCALER_DIV bound to: 46 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter REFRESH_TIMER_DIV bound to: 38 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PERIODIC_RD_TIMER_DIV bound to: 5 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MAINT_PRESCALER_PERIOD_NS bound to: 200 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ZQ_TIMER_DIV bound to: 640000 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RANK_BM_BV_WIDTH bound to: 4 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter EVEN_CWL_2T_MODE bound to: OFF - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter LOW_IDLE_CNT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter CODE_WIDTH bound to: 40 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2. mig_7series_v1_9_rank_mach2default:default2 2default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_mach.v2default:default2 712default:default8@Z8-6157hpx ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter MAINT_PRESCALER_DIV bound to: 46 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nCKESR bound to: 6 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQRD2DQWR_DLY bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nFAW bound to: 24 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter nREFRESH_BANK bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRRD bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWTR bound to: 7 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PERIODIC_RD_TIMER_DIV bound to: 5 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RANK_BM_BV_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter REFRESH_TIMER_DIV bound to: 38 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ZQ_TIMER_DIV bound to: 640000 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_rank_cntrl2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_cntrl.v2default:default2 792default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DQRD2DQWR_DLY bound to: 4 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nFAW bound to: 24 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter nREFRESH_BANK bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRRD bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWTR bound to: 7 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PERIODIC_RD_TIMER_DIV bound to: 5 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RANK_BM_BV_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter REFRESH_TIMER_DIV bound to: 38 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nADD_RRD bound to: -3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRRD_CLKS bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter ADD_RRD_CNTR_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nFAW_CLKS bound to: 6 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter TWO bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CASWR2CASRD bound to: 20 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter CASWR2CASRD_CLKS bound to: 5 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WTR_CNT_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CASRD2CASWR bound to: 12 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter CASRD2CASWR_CLKS bound to: 3 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RTW_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter REFRESH_BANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter PERIODIC_RD_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 SRLC32E2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 781842default:default8@Z8-6157hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 SRLC32E2default:default2 2default:default2 272default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 781842default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_rank_cntrl2default:default2 2default:default2 282default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_cntrl.v2default:default2 792default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys20 mig_7series_v1_9_rank_common2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_common.v2default:default2 722default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter MAINT_PRESCALER_DIV bound to: 46 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nCKESR bound to: 6 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PERIODIC_RD_TIMER_DIV bound to: 5 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter REFRESH_TIMER_DIV bound to: 38 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ZQ_TIMER_DIV bound to: 640000 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MAINT_PRESCALER_WIDTH bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter REFRESH_TIMER_WIDTH bound to: 6 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter ZQ_TIMER_WIDTH bound to: 20 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCKESR_CLKS bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CKESR_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x   8referenced signal '%s' should be on the sensitivity list567*oasys2$ zq_cntrl.zq_tick2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_common.v2default:default2 1722default:default8@Z8-567hpx  synthesizing module '%s'%s4497*oasys24 mig_7series_v1_9_round_robin_arb2default:default2 2default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WIDTH bound to: 3 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 4 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys24 mig_7series_v1_9_round_robin_arb2default:default2 2default:default2 292default:default2 12default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2D 0mig_7series_v1_9_round_robin_arb__parameterized02default:default2 2default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2D 0mig_7series_v1_9_round_robin_arb__parameterized02default:default2 2default:default2 292default:default2 12default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys20 mig_7series_v1_9_rank_common2default:default2 2default:default2 302default:default2 12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_common.v2default:default2 722default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2. mig_7series_v1_9_rank_mach2default:default2 2default:default2 312default:default2 12default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_rank_mach.v2default:default2 712default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2. mig_7series_v1_9_bank_mach2default:default2 2default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_mach.v2default:default2 722default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter EVEN_CWL_2T_MODE bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter LOW_IDLE_CNT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRAS bound to: 32 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nRFC bound to: 150 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWR bound to: 14 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nXSDLL bound to: 512 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RANK_BM_BV_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00001111 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RANK_VECT_INDX bound to: 3 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BANK_VECT_INDX bound to: 11 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter ROW_VECT_INDX bound to: 55 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter DATA_BUF_ADDR_VECT_INDX bound to: 19 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nWTP bound to: 27 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_bank_cntrl2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys21 mig_7series_v1_9_bank_compare2default:default2 2default:default2l VD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_compare.v2default:default2 742default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys21 mig_7series_v1_9_bank_compare2default:default2 2default:default2 322default:default2 12default:default2l VD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_compare.v2default:default2 742default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_bank_state2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRCD_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRCD_CLKS_M2 bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RCD_TIMER_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter TWO bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRTP_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRTP_CLKS_M1 bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RTP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter OP_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nRP_CLKS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nRP_CLKS_M2 bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter STARVE_LIMIT_CNT bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter STARVE_LIMIT_WIDTH bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_bank_state2default:default2 2default:default2 332default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_bank_queue2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter BM_CNT_ZERO bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter BM_CNT_ONE bound to: 2'b01 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_bank_queue2default:default2 2default:default2 342default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_bank_cntrl2default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized02default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_state__parameterized02default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRCD_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRCD_CLKS_M2 bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RCD_TIMER_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter TWO bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRTP_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRTP_CLKS_M1 bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RTP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter OP_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nRP_CLKS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nRP_CLKS_M2 bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter STARVE_LIMIT_CNT bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter STARVE_LIMIT_WIDTH bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_state__parameterized02default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_queue__parameterized02default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter BM_CNT_ZERO bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter BM_CNT_ONE bound to: 2'b01 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_queue__parameterized02default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized02default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized12default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_state__parameterized12default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRCD_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRCD_CLKS_M2 bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RCD_TIMER_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter TWO bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRTP_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRTP_CLKS_M1 bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RTP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter OP_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nRP_CLKS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nRP_CLKS_M2 bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter STARVE_LIMIT_CNT bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter STARVE_LIMIT_WIDTH bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_state__parameterized12default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_queue__parameterized12default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 2 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter BM_CNT_ZERO bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter BM_CNT_ONE bound to: 2'b01 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_queue__parameterized12default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized12default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized22default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 3 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_state__parameterized22default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 3 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRAS_CLKS bound to: 8 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRP bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nRTP bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nWTP_CLKS bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RAS_TIMER_WIDTH bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter STARVE_LIMIT bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRCD_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRCD_CLKS_M2 bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RCD_TIMER_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter TWO bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter nRTP_CLKS bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nRTP_CLKS_M1 bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RTP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter OP_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nRP_CLKS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nRP_CLKS_M2 bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RP_TIMER_WIDTH bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter STARVE_LIMIT_CNT bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter STARVE_LIMIT_WIDTH bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_state__parameterized22default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_state.v2default:default2 1412default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2? +mig_7series_v1_9_bank_queue__parameterized22default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  W %s *synth2? + Parameter ID bound to: 3 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter BM_CNT_ZERO bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter BM_CNT_ONE bound to: 2'b01 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_queue__parameterized22default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_queue.v2default:default2 1742default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2? +mig_7series_v1_9_bank_cntrl__parameterized22default:default2 2default:default2 352default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_cntrl.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys20 mig_7series_v1_9_bank_common2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_common.v2default:default2 732default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BM_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter LOW_IDLE_CNT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nOP_WAIT bound to: 0 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nRFC bound to: 150 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter nXSDLL bound to: 512 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tZQCS bound to: 64 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ZERO bound to: 0 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 1 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter BM_CNT_ZERO bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter BM_CNT_ONE bound to: 2'b01 2default:defaulthp x  _ %s *synth2G 3 Parameter nRFC_CLKS bound to: 38 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nZQCS_CLKS bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter nXSDLL_CLKS bound to: 128 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter RFC_ZQ_TIMER_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter THREE bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys20 mig_7series_v1_9_bank_common2default:default2 2default:default2 362default:default2 12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_common.v2default:default2 732default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2, mig_7series_v1_9_arb_mux2default:default2 2default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_mux.v2default:default2 692default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter EVEN_CWL_2T_MODE bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter BANK_VECT_INDX bound to: 11 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter DATA_BUF_ADDR_VECT_INDX bound to: 19 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRAS bound to: 32 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWR bound to: 14 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RANK_VECT_INDX bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter ROW_VECT_INDX bound to: 55 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00001111 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x   synthesizing module '%s'%s4497*oasys20 mig_7series_v1_9_arb_row_col2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_row_col.v2default:default2 832default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRAS bound to: 32 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter nRCD bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nWR bound to: 14 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RNK2RNK_DLY bound to: 12 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RNK2RNK_DLY_CLKS bound to: 3 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2D 0mig_7series_v1_9_round_robin_arb__parameterized12default:default2 2default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WIDTH bound to: 4 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter ONE bound to: 8 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2D 0mig_7series_v1_9_round_robin_arb__parameterized12default:default2 2default:default2 362default:default2 12default:default2o YD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_round_robin_arb.v2default:default2 1212default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys20 mig_7series_v1_9_arb_row_col2default:default2 2default:default2 372default:default2 12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_row_col.v2default:default2 832default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_arb_select2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_select.v2default:default2 752default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter EVEN_CWL_2T_MODE bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter BANK_VECT_INDX bound to: 11 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter DATA_BUF_ADDR_VECT_INDX bound to: 19 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nBANK_MACHS bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RANK_VECT_INDX bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter ROW_VECT_INDX bound to: 55 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_0_CONFIG bound to: 8'b00001111 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  c %s *synth2K 7 Parameter OUT_CMD_WIDTH bound to: 21 - type: integer 2default:defaulthp x  K %s *synth23  Parameter ONE bound to: 1'b1 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_arb_select2default:default2 2default:default2 382default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_select.v2default:default2 752default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2, mig_7series_v1_9_arb_mux2default:default2 2default:default2 392default:default2 12default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_arb_mux.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2. mig_7series_v1_9_bank_mach2default:default2 2default:default2 402default:default2 12default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_bank_mach.v2default:default2 722default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 idle2default:default2. mig_7series_v1_9_bank_mach2default:default2 bank_mach02default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_mc.v2default:default2 6672default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 bank_mach02default:default2. mig_7series_v1_9_bank_mach2default:default2 742default:default2 732default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_mc.v2default:default2 6672default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2- mig_7series_v1_9_col_mach2default:default2 2default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_col_mach.v2default:default2 882default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter DATA_BUF_OFFSET_WIDTH bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DELAY_WR_DATA_CNTRL bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  h %s *synth2P < Parameter EARLY_WR_DATA_ADDR bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_ADDR_WIDTH bound to: 31 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter nPHY_WRLAT bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MC_ERR_LINE_WIDTH bound to: 30 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter FIFO_WIDTH bound to: 8 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter FULL_RAM_CNT bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REMAINDER bound to: 2 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter RAM_CNT bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RAM_WIDTH bound to: 12 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 RAM32M2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 701032default:default8@Z8-6157hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 RAM32M2default:default2 2default:default2 412default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 701032default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2- mig_7series_v1_9_col_mach2default:default2 2default:default2 422default:default2 12default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_col_mach.v2default:default2 882default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2' mig_7series_v1_9_mc2default:default2 2default:default2 432default:default2 12default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/controller/mig_7series_v1_9_mc.v2default:default2 732default:default8@Z8-6155hpx  synthesizing module '%s'638*oasys20 mig_7series_v1_9_ddr_phy_top2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_top.vhd2default:default2 3422default:default8@Z8-638hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CL bound to: 13 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  g %s *synth2O ; Parameter PHYCTL_CMD_FIFO bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IODELAY_HP_MODE bound to: ON - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  i %s *synth2Q = Parameter SIM_BYPASS_INIT_CAL bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_FREQ bound to: 200.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RD_PATH_REG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PHYCTL_CMD_FIFO bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys27 #mig_7series_v1_9_ddr_mc_phy_wrapper2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 712default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DATA_IO_PRIM_TYPE bound to: HP_LP - type: string 2default:defaulthp x  h %s *synth2P < Parameter DATA_IO_IDLE_PWRDWN bound to: ON - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter DM_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ODT_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_CS_PORT bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_DM_PORT bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LPWR_MODE bound to: OFF - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PHYCTL_CMD_FIFO bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x   %s *synth2  Parameter CK_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 2default:defaulthp x   %s *synth2  Parameter ADDR_MAP bound to: 192'b000000000000000000000000000100000110000100000111000100001010000100000010000100000101000100001000000100011011000100000001000100000000000100001011000100010001000100010011000100100010000100011001 2default:defaulthp x  t %s *synth2\ H Parameter BANK_MAP bound to: 36'b000100010101000100000011000100011010 2default:defaulthp x  [ %s *synth2C / Parameter CAS_MAP bound to: 12'b000100011000 2default:defaulthp x  _ %s *synth2G 3 Parameter CKE_ODT_BYTE_MAP bound to: 8'b00000000 2default:defaulthp x   %s *synth2  Parameter CKE_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 2default:defaulthp x   %s *synth2  Parameter ODT_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x   %s *synth2  Parameter CS_MAP bound to: 120'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PARITY_MAP bound to: 12'b000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RAS_MAP bound to: 12'b000100010000 2default:defaulthp x  Z %s *synth2B . Parameter WE_MAP bound to: 12'b000100010100 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x   %s *synth2  Parameter DATA0_MAP bound to: 96'b001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter DATA1_MAP bound to: 96'b001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001 2default:defaulthp x   %s *synth2  Parameter DATA2_MAP bound to: 96'b000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001 2default:defaulthp x   %s *synth2  Parameter DATA3_MAP bound to: 96'b000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111 2default:defaulthp x   %s *synth2  Parameter DATA4_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA5_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA6_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA7_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA8_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA9_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA10_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA11_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA12_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA13_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA14_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA15_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA16_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter DATA17_MAP bound to: 96'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter MASK0_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2  Parameter MASK1_MAP bound to: 108'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LOW_PWR bound to: FALSE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DQ_PER_DQS bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter PHASE_PER_CLK bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter PHASE_DIV bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x   %s *synth2 Parameter FULL_DATA_MAP bound to: 1728'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000001001000000000110000000000011000000000101000000000111000000010010000000010101000000010011000000010110000000011000000000010001000000010100000000011001001000100000001000100110001000100001001000100100001000100011001000100111001000101000001000101001001000110010001000110101001000110111001000110100001000111000001000110001001000110110001000110011 2default:defaulthp x   %s *synth2  Parameter FULL_MASK_MAP bound to: 216'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000001000100010001000111001 2default:defaulthp x   %s *synth2 x Parameter TMP_BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000001000000000000000000000000000 2default:defaulthp x  o %s *synth2W C Parameter TMP_GENERATE_DDR_CK_MAP bound to: 16'b0011000101000100 2default:defaulthp x   %s *synth2  Parameter PHY_BITLANES_OUTONLY bound to: 144'b001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000 2default:defaulthp x   %s *synth2v b Parameter PHY_0_BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000001000000010000 2default:defaulthp x   %s *synth2v b Parameter PHY_1_BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter PHY_2_BITLANES_OUTONLY bound to: 48'b001000000000000000000100000000000000000000000000 2default:defaulthp x  t %s *synth2\ H Parameter CKE_ODT_RCLK_SELECT_BANK_AUX_ON bound to: 0 - type: integer 2default:defaulthp x  s %s *synth2[ G Parameter CKE_ODT_RCLK_SELECT_LANE_AUX_ON bound to: A - type: string 2default:defaulthp x  u %s *synth2] I Parameter CKE_ODT_RCLK_SELECT_BANK_AUX_OFF bound to: 1 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter CKE_ODT_RCLK_SELECT_LANE_AUX_OFF bound to: A - type: string 2default:defaulthp x  m %s *synth2U A Parameter CKE_ODT_RCLK_SELECT_BANK bound to: 1 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter CKE_ODT_RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter PHY_0_A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter FREQ_REF_DIV bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter INT_DELAY bound to: 0.532484 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter HALF_CYCLE_DELAY bound to: 0.500000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter MC_OCLK_DELAY bound to: 13.703534 - type: double 2default:defaulthp x  n %s *synth2V B Parameter PHY_0_A_PO_OCLK_DELAY_HW bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter PHY_0_A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter CWL_M bound to: 9 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PHY_0_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PHY_COUNT_EN bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 OBUF2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 463182default:default8@Z8-6157hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 OBUF2default:default2 2default:default2 442default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 463182default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 OBUFT2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 466142default:default8@Z8-6157hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 OBUFT2default:default2 2default:default2 452default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 466142default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 IOBUF_DCIEN2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 365592default:default8@Z8-6157hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LOW_PWR bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x  f %s *synth2N : Parameter USE_IBUFDISABLE bound to: TRUE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 IOBUF_DCIEN2default:default2 2default:default2 462default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 365592default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2! IOBUFDS_DCIEN2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 363472default:default8@Z8-6157hpx a %s *synth2I 5 Parameter DIFF_TERM bound to: FALSE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DQS_BIAS bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IBUF_LOW_PWR bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x  f %s *synth2N : Parameter USE_IBUFDISABLE bound to: TRUE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2! IOBUFDS_DCIEN2default:default2 2default:default2 472default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 363472default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2 2default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6157hpx Y %s *synth2A - Parameter TCQ bound to: 25 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter DEPTH bound to: 8 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter WIDTH bound to: 32 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter PTR_BITS bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2 2default:default2 482default:default2 12default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 afull2default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2& phy_ctl_pre_fifo_02default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2& phy_ctl_pre_fifo_02default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2 82default:default2 72default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13032default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2D 0mig_7series_v1_9_ddr_of_pre_fifo__parameterized02default:default2 2default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6157hpx Y %s *synth2A - Parameter TCQ bound to: 25 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter DEPTH bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WIDTH bound to: 6 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter PTR_BITS bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2D 0mig_7series_v1_9_ddr_of_pre_fifo__parameterized02default:default2 2default:default2 482default:default2 12default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 afull2default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2& phy_ctl_pre_fifo_12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13202default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2& phy_ctl_pre_fifo_12default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2 82default:default2 72default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13202default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 afull2default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2& phy_ctl_pre_fifo_22default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13372default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2& phy_ctl_pre_fifo_22default:default24 mig_7series_v1_9_ddr_of_pre_fifo2default:default2 82default:default2 72default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 13372default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2/ mig_7series_v1_9_ddr_mc_phy2default:default2 2default:default2c MD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  e %s *synth2M 9 Parameter RCLK_SELECT_BANK bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  [ %s *synth2C / Parameter RCLK_SELECT_EDGE bound to: 4'b1111 2default:defaulthp x  k %s *synth2S ? Parameter GENERATE_DDR_CK_MAP bound to: 16'b0011000101000100 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000001000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter PO_CTL_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PHYCTL_CMD_FIFO bound to: FALSE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PHY_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter PHY_FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PHY_EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PHY_COUNT_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PHY_SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter PHY_DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter MASTER_PHY_CTL bound to: 1 - type: integer 2default:defaulthp x   %s *synth2n Z Parameter PHY_0_BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2v b Parameter PHY_0_BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000001000000010000 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  q %s *synth2Y E Parameter PHY_0_GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PHY_0_IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter NUM_DDR_CK bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter PHY_0_DATA_CTL bound to: 4'b0011 2default:defaulthp x  e %s *synth2M 9 Parameter PHY_0_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_0_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PHY_0_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PHY_0_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_0_OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter PHY_0_IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter PHY_0_A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_A_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PHY_0_A_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_0_D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_0_A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_0_D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_0_A_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_A_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_0_B_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_B_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_0_C_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_C_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_0_D_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_D_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_0_A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_0_B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_0_C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_0_D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_0_D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x   %s *synth2n Z Parameter PHY_1_BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2v b Parameter PHY_1_BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  q %s *synth2Y E Parameter PHY_1_GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PHY_1_IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PHY_1_DATA_CTL bound to: 4'b0000 2default:defaulthp x  e %s *synth2M 9 Parameter PHY_1_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_1_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PHY_1_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PHY_1_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_1_OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter PHY_1_IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter PHY_1_A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_A_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PHY_1_A_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_1_D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_1_A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_1_A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_1_B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_1_C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_1_D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_1_D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_1_A_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_A_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_1_B_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_B_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_1_C_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_C_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_1_D_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_1_D_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x   %s *synth2n Z Parameter PHY_2_BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter PHY_2_BITLANES_OUTONLY bound to: 48'b001000000000000000000100000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  q %s *synth2Y E Parameter PHY_2_GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PHY_2_IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PHY_2_DATA_CTL bound to: 4'b1100 2default:defaulthp x  e %s *synth2M 9 Parameter PHY_2_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PHY_2_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PHY_2_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PHY_2_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_2_OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter PHY_2_IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter PHY_2_A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_A_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PHY_2_A_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  x %s *synth2` L Parameter PHY_2_D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PHY_2_D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PHY_2_A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_2_A_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_A_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_2_B_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_B_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_2_C_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_C_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  v %s *synth2^ J Parameter PHY_2_D_OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_D_OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_2_A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_2_B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_2_C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  w %s *synth2_ K Parameter PHY_2_D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter PHY_2_D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHY_0_IS_LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter PHY_1_IS_LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter PHY_2_IS_LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  [ %s *synth2C / Parameter TCK bound to: 1072 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter N_LANES bound to: 8 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter HIGHEST_LANE_B0 bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter HIGHEST_LANE_B1 bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter HIGHEST_LANE_B2 bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter HIGHEST_LANE_B3 bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter HIGHEST_LANE_B4 bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter GENERATE_SIGNAL_SPLIT bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  p %s *synth2X D Parameter IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter IF_SLOW_WR_CLK bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter IF_SLOW_RD_CLK bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter PHY_MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  W %s *synth2? + Parameter RCLK_NEG_EDGE bound to: 3'b000 2default:defaulthp x  W %s *synth2? + Parameter RCLK_POS_EDGE bound to: 3'b111 2default:defaulthp x   %s *synth2 } Parameter LP_PHY_0_BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 } Parameter LP_PHY_1_BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x   %s *synth2 } Parameter LP_PHY_2_BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter PC_DATA_OFFSET_RANGE_HI bound to: 22 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter PC_DATA_OFFSET_RANGE_LO bound to: 17 - type: integer 2default:defaulthp x  x %s *synth2` L Parameter RCLK_PI_OUTPUT_CLK_SRC bound to: DELAYED_MEM_REF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DDR_TCK bound to: 1072 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter FREQ_REF_PERIOD bound to: 1072.000000 - type: double 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter PO_S3_TAPS bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PI_S2_TAPS bound to: 128 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PO_S2_TAPS bound to: 128 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter PI_STG1_INTRINSIC_DELAY bound to: 0.000000 - type: double 2default:defaulthp x  t %s *synth2\ H Parameter PI_STG2_INTRINSIC_DELAY bound to: 668.919600 - type: double 2default:defaulthp x  r %s *synth2Z F Parameter PO_STG1_INTRINSIC_DELAY bound to: 0.000000 - type: double 2default:defaulthp x  y %s *synth2a M Parameter PO_STG2_FINE_INTRINSIC_DELAY bound to: 694.169600 - type: double 2default:defaulthp x  { %s *synth2c O Parameter PO_STG2_COARSE_INTRINSIC_DELAY bound to: 470.843200 - type: double 2default:defaulthp x  u %s *synth2] I Parameter PO_STG2_INTRINSIC_DELAY bound to: 1165.012800 - type: double 2default:defaulthp x  j %s *synth2R > Parameter PO_S2_TAPS_SIZE bound to: 8.375000 - type: double 2default:defaulthp x  r %s *synth2Z F Parameter PO_CIRC_BUF_META_ZONE bound to: 200.000000 - type: double 2default:defaulthp x  Y %s *synth2A - Parameter PO_CIRC_BUF_EARLY bound to: 1'b0 2default:defaulthp x  n %s *synth2V B Parameter PO_CIRC_BUF_OFFSET bound to: 93.012800 - type: double 2default:defaulthp x  g %s *synth2O ; Parameter PO_CIRC_BUF_DELAY bound to: 60 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PI_S2_TAPS_SIZE bound to: 8.375000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter PI_MAX_STG2_DELAY bound to: 527.625000 - type: double 2default:defaulthp x  o %s *synth2W C Parameter PI_INTRINSIC_DELAY bound to: 668.919600 - type: double 2default:defaulthp x  p %s *synth2X D Parameter PO_INTRINSIC_DELAY bound to: 1165.012800 - type: double 2default:defaulthp x  f %s *synth2N : Parameter PO_DELAY bound to: 1667.512800 - type: double 2default:defaulthp x  h %s *synth2P < Parameter RCLK_BUFIO_DELAY bound to: 1200 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RCLK_DELAY_INT bound to: 1869 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PO_DELAY_INT bound to: 1668 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PI_OFFSET bound to: -201.000000 - type: double 2default:defaulthp x  o %s *synth2W C Parameter PI_STG2_DELAY_CAND bound to: 335.000000 - type: double 2default:defaulthp x  j %s *synth2R > Parameter PI_STG2_DELAY bound to: 335.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter DEFAULT_RCLK_DELAY bound to: 40 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter LP_RCLK_SELECT_EDGE bound to: 4'b0000 2default:defaulthp x  k %s *synth2S ? Parameter L_PHY_0_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter L_PHY_1_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter L_PHY_2_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_0_A_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_0_B_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_0_C_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_0_D_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_1_A_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_1_B_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_1_C_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_1_D_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_2_A_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_2_B_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_2_C_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter L_PHY_2_D_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_0_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_0_B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_0_C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_0_D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  } %s *synth2e Q Parameter L_PHY_1_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_MEM_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_1_B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_1_C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_1_D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_2_A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_2_B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_2_C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  y %s *synth2a M Parameter L_PHY_2_D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  h %s *synth2P < Parameter L_RESET_SELECT_BANK bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys23 mig_7series_v1_9_ddr_phy_4lanes2default:default2 2default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6157hpx k %s *synth2S ? Parameter GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter NUM_DDR_CK bound to: 1 - type: integer 2default:defaulthp x  U %s *synth2= ) Parameter BYTE_LANES bound to: 4'b0011 2default:defaulthp x  U %s *synth2= ) Parameter DATA_CTL_N bound to: 4'b0011 2default:defaulthp x   %s *synth2h T Parameter BITLANES bound to: 48'b000000000000000000000000001101111111001011111111 2default:defaulthp x   %s *synth2p \ Parameter BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000001000000010000 2default:defaulthp x  b %s *synth2J 6 Parameter LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  a %s *synth2I 5 Parameter LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter PO_CTL_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter A_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter A_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter B_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter B_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter B_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter C_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter C_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter C_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter D_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter D_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter D_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter B_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter C_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter D_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PC_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PC_DATA_CTL_N bound to: 4'b0011 2default:defaulthp x  b %s *synth2J 6 Parameter PC_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PC_FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_PHY_COUNT_EN bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PC_DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PC_MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter OF_OUTPUT_DISABLE bound to: TRUE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_LANE bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_BYTE_LANES bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_DATA_LANES bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter AUXOUT_WIDTH bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_A bound to: 1'b0 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_B bound to: 1'b0 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_C bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_D bound to: 1'b1 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_A bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_B bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_C bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_D bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_A bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_B bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_C bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_D bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter PC_DATA_CTL_A bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_DATA_CTL_B bound to: TRUE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_C bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_D bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter A_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter B_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter C_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter D_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_START bound to: 41 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_A_END bound to: 40 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_START bound to: 43 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_B_END bound to: 42 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_START bound to: 45 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_C_END bound to: 44 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_START bound to: 47 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_D_END bound to: 46 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_A_X_START bound to: 41 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_X_END bound to: 40 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_B_X_START bound to: 43 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_X_END bound to: 42 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_C_X_START bound to: 45 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_X_END bound to: 44 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_D_X_START bound to: 47 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_X_END bound to: 46 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys22 mig_7series_v1_9_ddr_byte_lane2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: A - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001011111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000010000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys25 !mig_7series_v1_9_ddr_if_post_fifo2default:default2 2default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_if_post_fifo.v2default:default2 682default:default8@Z8-6157hpx Y %s *synth2A - Parameter TCQ bound to: 25 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter DEPTH bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter WIDTH bound to: 80 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter PTR_BITS bound to: 2 - type: integer 2default:defaulthp x   -case statement is not full and has no default155*oasys2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_if_post_fifo.v2default:default2 1082default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys25 !mig_7series_v1_9_ddr_if_post_fifo2default:default2 2default:default2 492default:default2 12default:default2i SD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_if_post_fifo.v2default:default2 682default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2D 0mig_7series_v1_9_ddr_of_pre_fifo__parameterized12default:default2 2default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6157hpx Y %s *synth2A - Parameter TCQ bound to: 25 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter DEPTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter WIDTH bound to: 80 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter PTR_BITS bound to: 4 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 4 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2D 0mig_7series_v1_9_ddr_of_pre_fifo__parameterized12default:default2 2default:default2 492default:default2 12default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_of_pre_fifo.v2default:default2 762default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2! PHASER_IN_PHY2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 612122default:default8@Z8-6157hpx a %s *synth2I 5 Parameter BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter DQS_BIAS_MODE bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter DQS_FIND_PATTERN bound to: 3'b000 2default:defaulthp x  ` %s *synth2H 4 Parameter FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  k %s *synth2S ? Parameter MEMREFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  m %s *synth2U A Parameter PHASEREFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WR_CYCLES bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2! PHASER_IN_PHY2default:default2 2default:default2 502default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 612122default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 IN_FIFO2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 361742default:default8@Z8-6157hpx g %s *synth2O ; Parameter ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  h %s *synth2P < Parameter SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 IN_FIFO2default:default2 2default:default2 512default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 361742default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2" PHASER_OUT_PHY2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 613492default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DATA_CTL_N bound to: TRUE - type: string 2default:defaulthp x  f %s *synth2N : Parameter DATA_RD_CYCLES bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  k %s *synth2S ? Parameter MEMREFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  m %s *synth2U A Parameter PHASEREFCLK_PERIOD bound to: 1.000000 - type: double 2default:defaulthp x  L %s *synth24 Parameter PO bound to: 3'b111 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2" PHASER_OUT_PHY2default:default2 2default:default2 522default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 613492default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 OUT_FIFO2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 505132default:default8@Z8-6157hpx g %s *synth2O ; Parameter ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  f %s *synth2N : Parameter OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 OUT_FIFO2default:default2 2default:default2 532default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 505132default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys26 "mig_7series_v1_9_ddr_byte_group_io2default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001011111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000010000 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 ISERDESE22default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 386202default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter DATA_RATE bound to: DDR - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  O %s *synth27 # Parameter INIT_Q1 bound to: 1'b0 2default:defaulthp x  O %s *synth27 # Parameter INIT_Q2 bound to: 1'b0 2default:defaulthp x  O %s *synth27 # Parameter INIT_Q3 bound to: 1'b0 2default:defaulthp x  O %s *synth27 # Parameter INIT_Q4 bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter IOBDELAY bound to: IFD - type: string 2default:defaulthp x  X %s *synth2@ , Parameter IS_CLKB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_CLKDIVP_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKDIV_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_D_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_OCLKB_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_OCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter NUM_CE bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OFB_USED bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_Q4 bound to: 1'b0 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 ISERDESE22default:default2 2default:default2 542default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 386202default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2 IDELAYE22default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 350732default:default8@Z8-6157hpx d %s *synth2L 8 Parameter CINVCTRL_SEL bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter DELAY_SRC bound to: IDATAIN - type: string 2default:defaulthp x  l %s *synth2T @ Parameter HIGH_PERFORMANCE_MODE bound to: TRUE - type: string 2default:defaulthp x  f %s *synth2N : Parameter IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  U %s *synth2= ) Parameter IS_C_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_DATAIN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_IDATAIN_INVERTED bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter PIPE_SEL bound to: FALSE - type: string 2default:defaulthp x  m %s *synth2U A Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double 2default:defaulthp x  e %s *synth2M 9 Parameter SIGNAL_PATTERN bound to: DATA - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter SIM_DELAY_D bound to: 0 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 IDELAYE22default:default2 2default:default2 552default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 350732default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2 OSERDESE22default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6157hpx b %s *synth2J 6 Parameter DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  O %s *synth27 # Parameter INIT_OQ bound to: 1'b1 2default:defaulthp x  O %s *synth27 # Parameter INIT_TQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKDIV_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D4_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D5_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D6_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D7_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D8_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T4_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_OQ bound to: 1'b1 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_TQ bound to: 1'b1 2default:defaulthp x  ` %s *synth2H 4 Parameter TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter TBYTE_SRC bound to: TRUE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 OSERDESE22default:default2 2default:default2 562default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2$ oserdes_slave_ts2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2$ oserdes_slave_ts2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2$ oserdes_slave_ts2default:default2 OSERDESE22default:default2 272default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2- OSERDESE2__parameterized02default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6157hpx b %s *synth2J 6 Parameter DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  O %s *synth27 # Parameter INIT_OQ bound to: 1'b1 2default:defaulthp x  O %s *synth27 # Parameter INIT_TQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKDIV_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D4_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D5_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D6_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D7_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D8_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T4_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_OQ bound to: 1'b1 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_TQ bound to: 1'b1 2default:defaulthp x  ` %s *synth2H 4 Parameter TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TBYTE_SRC bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2- OSERDESE2__parameterized02default:default2 2default:default2 562default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6155hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  synthesizing module '%s'%s4497*oasys2 ODDR2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 497912default:default8@Z8-6157hpx h %s *synth2P < Parameter DDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_C_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D2_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter SRTYPE bound to: SYNC - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 ODDR2default:default2 2default:default2 572default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 497912default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys26 "mig_7series_v1_9_ddr_byte_group_io2default:default2 2default:default2 582default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys22 mig_7series_v1_9_ddr_byte_lane2default:default2 2default:default2 592default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized02default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: B - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001101111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000001 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 1 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized02default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001101111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000001 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q72default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 Q82default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 OCLKB2default:default2 ISERDESE22default:default2 iserdesdq2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2$ oserdes_slave_ts2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2$ oserdes_slave_ts2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2$ oserdes_slave_ts2default:default2 OSERDESE22default:default2 272default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D82default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 TBYTEOUT2default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  9port '%s' of module '%s' is unconnected for instance '%s'4818*oasys2 D72default:default2 OSERDESE22default:default2 oserdes_dq_i2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7071hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-70712default:default2 1002default:defaultZ17-14hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized02default:default2 2default:default2 592default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized02default:default2 2default:default2 592default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 BUFIO2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 13442default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 BUFIO2default:default2 2default:default2 602default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 13442default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 PHY_CONTROL2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6157hpx ^ %s *synth2F 2 Parameter AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter DATA_CTL_A_N bound to: TRUE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter DATA_CTL_B_N bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_C_N bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_D_N bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter PHY_COUNT_ENABLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 PHY_CONTROL2default:default2 2default:default2 612default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6155hpx  default block is never used226*oasys2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 14932default:default8@Z8-226hpx  synthesizing module '%s'%s4497*oasys2 PHASER_REF2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614242default:default8@Z8-6157hpx Z %s *synth2B . Parameter IS_PWRDWN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 PHASER_REF2default:default2 2default:default2 622default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614242default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys23 mig_7series_v1_9_ddr_phy_4lanes2default:default2 2default:default2 632default:default2 12default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2C /mig_7series_v1_9_ddr_phy_4lanes__parameterized02default:default2 2default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6157hpx k %s *synth2S ? Parameter GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter NUM_DDR_CK bound to: 1 - type: integer 2default:defaulthp x  U %s *synth2= ) Parameter BYTE_LANES bound to: 4'b1111 2default:defaulthp x  U %s *synth2= ) Parameter DATA_CTL_N bound to: 4'b0000 2default:defaulthp x   %s *synth2h T Parameter BITLANES bound to: 48'b000000000000000000000100111100111111110111111111 2default:defaulthp x   %s *synth2p \ Parameter BITLANES_OUTONLY bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  a %s *synth2I 5 Parameter LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter PO_CTL_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  u %s *synth2] I Parameter A_PI_OUTPUT_CLK_SRC bound to: DELAYED_MEM_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter A_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter A_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter B_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter B_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter B_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter C_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter C_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter C_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter D_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter D_PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter D_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter B_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter C_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter D_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PC_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PC_DATA_CTL_N bound to: 4'b0000 2default:defaulthp x  b %s *synth2J 6 Parameter PC_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PC_FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_PHY_COUNT_EN bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PC_DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PC_MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter OF_OUTPUT_DISABLE bound to: TRUE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_LANE bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_BYTE_LANES bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_DATA_LANES bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter AUXOUT_WIDTH bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_A bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_B bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_C bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_D bound to: 1'b1 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_A bound to: 1'b1 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_B bound to: 1'b1 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_C bound to: 1'b1 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_D bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_A bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_B bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_C bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_D bound to: 1'b0 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_A bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_B bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_C bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_D bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter A_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter B_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter C_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter D_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_START bound to: 41 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_A_END bound to: 40 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_START bound to: 43 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_B_END bound to: 42 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_START bound to: 45 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_C_END bound to: 44 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_START bound to: 47 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_D_END bound to: 46 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_A_X_START bound to: 41 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_X_END bound to: 40 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_B_X_START bound to: 43 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_X_END bound to: 42 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_C_X_START bound to: 45 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_X_END bound to: 44 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_D_X_START bound to: 47 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_X_END bound to: 46 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized12default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: A - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b110111111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  s %s *synth2[ G Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_MEM_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys22 PHASER_OUT_PHY__parameterized02default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 613492default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DATA_CTL_N bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter DATA_RD_CYCLES bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  k %s *synth2S ? Parameter MEMREFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  m %s *synth2U A Parameter PHASEREFCLK_PERIOD bound to: 1.000000 - type: double 2default:defaulthp x  L %s *synth24 Parameter PO bound to: 3'b111 2default:defaulthp x  h %s *synth2P < Parameter REFCLK_PERIOD bound to: 1.072000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys22 PHASER_OUT_PHY__parameterized02default:default2 2default:default2 632default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 613492default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2, OUT_FIFO__parameterized02default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 505132default:default8@Z8-6157hpx g %s *synth2O ; Parameter ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  f %s *synth2N : Parameter OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2, OUT_FIFO__parameterized02default:default2 2default:default2 632default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 505132default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized12default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b110111111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: SDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2- OSERDESE2__parameterized12default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6157hpx b %s *synth2J 6 Parameter DATA_RATE_OQ bound to: SDR - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DATA_RATE_TQ bound to: SDR - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  O %s *synth27 # Parameter INIT_OQ bound to: 1'b0 2default:defaulthp x  O %s *synth27 # Parameter INIT_TQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKDIV_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D4_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D5_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D6_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D7_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_D8_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T1_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T2_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T3_INVERTED bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter IS_T4_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_OQ bound to: 1'b0 2default:defaulthp x  P %s *synth28 $ Parameter SRVAL_TQ bound to: 1'b1 2default:defaulthp x  a %s *synth2I 5 Parameter TBYTE_CTL bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TBYTE_SRC bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter TRISTATE_WIDTH bound to: 1 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2- OSERDESE2__parameterized12default:default2 2default:default2 632default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 503982default:default8@Z8-6155hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized12default:default2 2default:default2 632default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized12default:default2 2default:default2 632default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized22default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: B - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b111100111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 1 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized22default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b111100111111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: SDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized22default:default2 2default:default2 632default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized22default:default2 2default:default2 632default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized32default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: C - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b000000000100 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 2 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized32default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b000000000100 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: SDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 232default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 4092default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized32default:default2 2default:default2 632default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized32default:default2 2default:default2 632default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized42default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: D - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000001000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 40 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 3 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_4_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 OBUFDS2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 463322default:default8@Z8-6157hpx g %s *synth2O ; Parameter CAPACITANCE bound to: DONT_CARE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 OBUFDS2default:default2 2default:default2 642default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 463322default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized42default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter PO_DATA_CTL bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: SDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: SDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: SDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized42default:default2 2default:default2 642default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized42default:default2 2default:default2 642default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ PHY_CONTROL__parameterized02default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6157hpx ^ %s *synth2F 2 Parameter AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_A_N bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_B_N bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_C_N bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_D_N bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter PHY_COUNT_ENABLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ PHY_CONTROL__parameterized02default:default2 2default:default2 642default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6155hpx  default block is never used226*oasys2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 14932default:default8@Z8-226hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2C /mig_7series_v1_9_ddr_phy_4lanes__parameterized02default:default2 2default:default2 642default:default2 12default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2C /mig_7series_v1_9_ddr_phy_4lanes__parameterized12default:default2 2default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6157hpx k %s *synth2S ? Parameter GENERATE_IDELAYCTRL bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter NUM_DDR_CK bound to: 1 - type: integer 2default:defaulthp x  U %s *synth2= ) Parameter BYTE_LANES bound to: 4'b1100 2default:defaulthp x  U %s *synth2= ) Parameter DATA_CTL_N bound to: 4'b1100 2default:defaulthp x   %s *synth2h T Parameter BITLANES bound to: 48'b001111111110001111011111000000000000000000000000 2default:defaulthp x   %s *synth2p \ Parameter BITLANES_OUTONLY bound to: 48'b001000000000000000000100000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter LANE_REMAP bound to: 16'b0011001000010000 2default:defaulthp x  a %s *synth2I 5 Parameter LAST_BANK bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter PO_CTL_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter A_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter A_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter A_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter B_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter B_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter B_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter C_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter C_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter C_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter D_PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter D_PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter D_PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter A_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter A_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter A_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter A_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter B_PO_CLKOUT_DIV bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter B_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter B_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter B_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter B_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter C_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter C_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter C_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter C_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter C_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter D_PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter D_PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter D_PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter D_PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter D_PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter A_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter B_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter C_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter D_IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PC_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PC_DATA_CTL_N bound to: 4'b1100 2default:defaulthp x  b %s *synth2J 6 Parameter PC_CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PC_RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PC_AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PC_AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PC_FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PC_EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PC_PHY_COUNT_EN bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter PC_DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PC_MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter OF_OUTPUT_DISABLE bound to: TRUE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter A_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter A_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter B_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter B_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter C_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter C_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter D_OS_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter D_OS_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  r %s *synth2Z F Parameter A_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter B_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter C_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter D_IF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_LANE bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_BYTE_LANES bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter N_DATA_LANES bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter AUXOUT_WIDTH bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LP_DDR_CK_WIDTH bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_A bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_B bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_C bound to: 1'b0 2default:defaulthp x  R %s *synth2: & Parameter DATA_CTL_D bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_A bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_B bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_C bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter PRESENT_CTL_D bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_A bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_B bound to: 1'b0 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_C bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter PRESENT_DATA_D bound to: 1'b1 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_A bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter PC_DATA_CTL_B bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_DATA_CTL_C bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PC_DATA_CTL_D bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter A_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter B_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter C_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter D_PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_START bound to: 41 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_A_END bound to: 40 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_START bound to: 43 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_B_END bound to: 42 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_START bound to: 45 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_C_END bound to: 44 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_START bound to: 47 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IO_D_END bound to: 46 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_A_X_START bound to: 41 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_A_X_END bound to: 40 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_B_X_START bound to: 43 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_B_X_END bound to: 42 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_C_X_START bound to: 45 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_C_X_END bound to: 44 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter IO_D_X_START bound to: 47 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter IO_D_X_END bound to: 46 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized52default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: C - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001111011111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000100 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 2 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized52default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001111011111 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b000000000100 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2$ oserdes_slave_ts2default:default2 OSERDESE22default:default2 272default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized52default:default2 2default:default2 642default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized52default:default2 2default:default2 642default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized62default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6157hpx X %s *synth2@ , Parameter ABCD bound to: D - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001111111110 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b001000000000 2default:defaulthp x   %s *synth2 t Parameter BYTELANES_DDR_CK bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RCLK_SELECT_LANE bound to: A - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PC_CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter USE_PRE_POST_FIFO bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter OF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter OF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter OF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  i %s *synth2Q = Parameter OF_OUTPUT_DISABLE bound to: FALSE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IF_ALMOST_EMPTY_VALUE bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IF_ALMOST_FULL_VALUE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter IF_ARRAY_MODE bound to: UNDECLARED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter IF_SYNCHRONOUS_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PI_BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PI_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter PI_FREQ_REF_DIV bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter PI_FINE_DELAY bound to: 33 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter PI_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  f %s *synth2N : Parameter PI_SEL_CLK_OFFSET bound to: 6 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter PI_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter PO_CLKOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_FINE_DELAY bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter PO_COARSE_BYPASS bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PO_COARSE_DELAY bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PO_OCLK_DELAY bound to: 28 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter PO_OCLKDELAY_INV bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter PO_OUTPUT_CLK_SRC bound to: DELAYED_REF - type: string 2default:defaulthp x  i %s *synth2Q = Parameter PO_SYNC_IN_DIV_RST bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DATA_RATE bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DATA_WIDTH bound to: UNDECLARED - type: string 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TCK bound to: 1072.000000 - type: double 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PO bound to: 3 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter MSB_BURST_PEND_PI bound to: 7 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MSB_RANK_SEL_I bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PHASER_CTL_BUS_WIDTH bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter PHASER_INDEX bound to: 3 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter L_OF_ARRAY_MODE bound to: ARRAY_MODE_8_X_4 - type: string 2default:defaulthp x  r %s *synth2Z F Parameter L_IF_ARRAY_MODE bound to: ARRAY_MODE_4_X_8 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter L_OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter L_OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter L_FREQ_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  n %s *synth2V B Parameter L_MEM_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  p %s *synth2X D Parameter L_PHASE_REF_PERIOD_NS bound to: 1.072000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  f %s *synth2N : Parameter PO_DCD_CORRECTION bound to: ON - type: string 2default:defaulthp x  X %s *synth2@ , Parameter PO_DCD_SETTING bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter DQS_AUTO_RECAL bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DQS_FIND_PATTERN bound to: 000 - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized62default:default2 2default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6157hpx \ %s *synth2D 0 Parameter BITLANES bound to: 12'b001111111110 2default:defaulthp x  d %s *synth2L 8 Parameter BITLANES_OUTONLY bound to: 12'b001000000000 2default:defaulthp x  b %s *synth2J 6 Parameter PO_DATA_CTL bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter OSERDES_DATA_RATE bound to: DDR - type: string 2default:defaulthp x   %s *synth2 ~ Parameter OSERDES_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  o %s *synth2W C Parameter IDELAYE2_IDELAY_TYPE bound to: VARIABLE - type: string 2default:defaulthp x  j %s *synth2R > Parameter IDELAYE2_IDELAY_VALUE bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter IODELAY_GRP bound to: IODELAY_MIG - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter BUS_WIDTH bound to: 12 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter SYNTHESIS bound to: TRUE - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_RATE bound to: DDR - type: string 2default:defaulthp x  j %s *synth2R > Parameter ISERDES_DQ_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  t %s *synth2\ H Parameter ISERDES_DQ_DYN_CLKDIV_INV_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter ISERDES_DQ_DYN_CLK_INV_EN bound to: FALSE - type: string 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q1 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q2 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q3 bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter ISERDES_DQ_INIT_Q4 bound to: 1'b0 2default:defaulthp x  w %s *synth2_ K Parameter ISERDES_DQ_INTERFACE_TYPE bound to: MEMORY_DDR3 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter ISERDES_NUM_CE bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ISERDES_DQ_IOBDELAY bound to: IFD - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ISERDES_DQ_OFB_USED bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter ISERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q1 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q2 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q3 bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter ISERDES_DQ_SRVAL_Q4 bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  m %s *synth2U A Parameter OSERDES_DQ_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x   %s *synth2  Parameter OSERDES_DQ_DATA_WIDTH bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000100 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_OQ bound to: 1'b1 2default:defaulthp x  Z %s *synth2B . Parameter OSERDES_DQ_INIT_TQ bound to: 1'b1 2default:defaulthp x  s %s *synth2[ G Parameter OSERDES_DQ_INTERFACE_TYPE bound to: DEFAULT - type: string 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQ_ODELAY_USED bound to: 0 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQ_SERDES_MODE bound to: MASTER - type: string 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_OQ bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter OSERDES_DQ_SRVAL_TQ bound to: 1'b1 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQ_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_OQ bound to: DDR - type: string 2default:defaulthp x  n %s *synth2V B Parameter OSERDES_DQS_DATA_RATE_TQ bound to: DDR - type: string 2default:defaulthp x  o %s *synth2W C Parameter OSERDES_DQS_TRISTATE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter OSERDES_DQS_DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter ODDR_CLK_EDGE bound to: SAME_EDGE - type: string 2default:defaulthp x  h %s *synth2P < Parameter OSERDES_TBYTE_CTL bound to: TRUE - type: string 2default:defaulthp x   Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 iserdesdq2default:default2 ISERDESE22default:default2 282default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 2032default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2$ oserdes_slave_ts2default:default2 OSERDESE22default:default2 272default:default2 252default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3212default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 oserdes_dq_i2default:default2 OSERDESE22default:default2 272default:default2 242default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 3692default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2F 2mig_7series_v1_9_ddr_byte_group_io__parameterized62default:default2 2default:default2 642default:default2 12default:default2j TD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_group_io.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2B .mig_7series_v1_9_ddr_byte_lane__parameterized62default:default2 2default:default2 642default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_byte_lane.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ PHY_CONTROL__parameterized12default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6157hpx ^ %s *synth2F 2 Parameter AO_TOGGLE bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter AO_WRLVL_EN bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BURST_MODE bound to: TRUE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter CLK_RATIO bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CMD_OFFSET bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter CO_DURATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_A_N bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter DATA_CTL_B_N bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter DATA_CTL_C_N bound to: TRUE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter DATA_CTL_D_N bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DISABLE_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DI_DURATION bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DO_DURATION bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter EVENTS_DELAY bound to: 18 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter FOUR_WINDOW_CLOCKS bound to: 63 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter MULTI_REGION bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter PHY_COUNT_ENABLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_0 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_1 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_2 bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter RD_CMD_OFFSET_3 bound to: 10 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_0 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_1 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_2 bound to: 6 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RD_DURATION_3 bound to: 6 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SYNC_MODE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_0 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_1 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_2 bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter WR_CMD_OFFSET_3 bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_0 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_1 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_2 bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WR_DURATION_3 bound to: 7 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ PHY_CONTROL__parameterized12default:default2 2default:default2 642default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 614402default:default8@Z8-6155hpx  default block is never used226*oasys2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 14932default:default8@Z8-226hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2C /mig_7series_v1_9_ddr_phy_4lanes__parameterized12default:default2 2default:default2 642default:default2 12default:default2g QD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_4lanes.v2default:default2 722default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_ddr_mc_phy2default:default2 2default:default2 652default:default2 12default:default2c MD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy.v2default:default2 702default:default8@Z8-6155hpx  Kinstance '%s' of module '%s' has %s connections declared, but only %s given4757*oasys2 u_ddr_mc_phy2default:default2/ mig_7series_v1_9_ddr_mc_phy2default:default2 862default:default2 852default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 14522default:default8@Z8-7023hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys27 #mig_7series_v1_9_ddr_mc_phy_wrapper2default:default2 2default:default2 662default:default2 12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_mc_phy_wrapper.v2default:default2 712default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter PRBS_WIDTH bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x  \ %s *synth2D 0 Parameter CTL_BYTE_LANE bound to: 8'b11100100 2default:defaulthp x  R %s *synth2: & Parameter CTL_BANK bound to: 3'b001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PER_BIT_DESKEW bound to: OFF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nCL bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCWL bound to: 9 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  f %s *synth2N : Parameter SIM_INIT_OPTION bound to: NONE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys22 mig_7series_v1_9_ddr_calib_top2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_calib_top.v2default:default2 822default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter PRBS_WIDTH bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter BANK_TYPE bound to: HP_IO - type: string 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x   %s *synth2  Parameter DQS_BYTE_MAP bound to: 144'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000100011 2default:defaulthp x  \ %s *synth2D 0 Parameter CTL_BYTE_LANE bound to: 8'b11100100 2default:defaulthp x  R %s *synth2: & Parameter CTL_BANK bound to: 3'b001 2default:defaulthp x  \ %s *synth2D 0 Parameter SLOT_1_CONFIG bound to: 8'b00000000 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter PER_BIT_DESKEW bound to: OFF - type: string 2default:defaulthp x  h %s *synth2P < Parameter NUM_DQSFOUND_CAL bound to: 1020 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  [ %s *synth2C / Parameter TEST_AL bound to: 0 - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nCL bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCWL bound to: 9 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  f %s *synth2N : Parameter SIM_INIT_OPTION bound to: NONE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter OCAL_EN bound to: ON - type: string 2default:defaulthp x  j %s *synth2R > Parameter DQS_FOUND_N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter DQSFOUND_CAL bound to: RIGHT - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys22 mig_7series_v1_9_ddr_phy_wrlvl2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrlvl.v2default:default2 902default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  S %s *synth2; ' Parameter WL_IDLE bound to: 5'b00000 2default:defaulthp x  S %s *synth2; ' Parameter WL_INIT bound to: 5'b00001 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_INIT_FINE_INC bound to: 5'b00010 2default:defaulthp x  b %s *synth2J 6 Parameter WL_INIT_FINE_INC_WAIT1 bound to: 5'b00011 2default:defaulthp x  a %s *synth2I 5 Parameter WL_INIT_FINE_INC_WAIT bound to: 5'b00100 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_INIT_FINE_DEC bound to: 5'b00101 2default:defaulthp x  a %s *synth2I 5 Parameter WL_INIT_FINE_DEC_WAIT bound to: 5'b00110 2default:defaulthp x  W %s *synth2? + Parameter WL_FINE_INC bound to: 5'b00111 2default:defaulthp x  S %s *synth2; ' Parameter WL_WAIT bound to: 5'b01000 2default:defaulthp x  Y %s *synth2A - Parameter WL_EDGE_CHECK bound to: 5'b01001 2default:defaulthp x  X %s *synth2@ , Parameter WL_DQS_CHECK bound to: 5'b01010 2default:defaulthp x  V %s *synth2> * Parameter WL_DQS_CNT bound to: 5'b01011 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_2RANK_TAP_DEC bound to: 5'b01100 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_2RANK_DQS_CNT bound to: 5'b01101 2default:defaulthp x  W %s *synth2? + Parameter WL_FINE_DEC bound to: 5'b01110 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_FINE_DEC_WAIT bound to: 5'b01111 2default:defaulthp x  X %s *synth2@ , Parameter WL_CORSE_INC bound to: 5'b10000 2default:defaulthp x  ] %s *synth2E 1 Parameter WL_CORSE_INC_WAIT bound to: 5'b10001 2default:defaulthp x  ^ %s *synth2F 2 Parameter WL_CORSE_INC_WAIT1 bound to: 5'b10010 2default:defaulthp x  ^ %s *synth2F 2 Parameter WL_CORSE_INC_WAIT2 bound to: 5'b10011 2default:defaulthp x  X %s *synth2@ , Parameter WL_CORSE_DEC bound to: 5'b10100 2default:defaulthp x  ] %s *synth2E 1 Parameter WL_CORSE_DEC_WAIT bound to: 5'b10101 2default:defaulthp x  ^ %s *synth2F 2 Parameter WL_CORSE_DEC_WAIT1 bound to: 5'b10110 2default:defaulthp x  \ %s *synth2D 0 Parameter WL_FINE_INC_WAIT bound to: 5'b10111 2default:defaulthp x  ^ %s *synth2F 2 Parameter WL_2RANK_FINAL_TAP bound to: 5'b11000 2default:defaulthp x  b %s *synth2J 6 Parameter WL_INIT_FINE_DEC_WAIT1 bound to: 5'b11001 2default:defaulthp x  ] %s *synth2E 1 Parameter WL_FINE_DEC_WAIT1 bound to: 5'b11010 2default:defaulthp x  a %s *synth2I 5 Parameter WL_CORSE_INC_WAIT_TMP bound to: 5'b11011 2default:defaulthp x  ` %s *synth2H 4 Parameter COARSE_TAPS bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter FAST_CAL_FINE bound to: 45 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter FAST_CAL_COARSE bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter REDO_COARSE bound to: 2 - type: integer 2default:defaulthp x   -case statement is not full and has no default155*oasys2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrlvl.v2default:default2 7972default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys22 mig_7series_v1_9_ddr_phy_wrlvl2default:default2 2default:default2 672default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrlvl.v2default:default2 902default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2> *mig_7series_v1_9_ddr_phy_ck_addr_cmd_delay2default:default2 2default:default2r \D:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_ck_addr_cmd_delay.v2default:default2 682default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter TAP_CNT_LIMIT bound to: 63 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter FREQ_REF_DIV bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PHASER_TAP_RES bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CALC_TAP_CNT bound to: 300 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter TAP_CNT bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter TAP_DEC bound to: 29 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2> *mig_7series_v1_9_ddr_phy_ck_addr_cmd_delay2default:default2 2default:default2 682default:default2 12default:default2r \D:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_ck_addr_cmd_delay.v2default:default2 682default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2: &mig_7series_v1_9_ddr_phy_oclkdelay_cal2default:default2 2default:default2n XD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_oclkdelay_cal.v2default:default2 692default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter tCK bound to: 1072 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter OCAL_EN bound to: ON - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter TAP_CNT bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter WAIT_CNT bound to: 15 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter MINUS_32 bound to: TRUE - type: string 2default:defaulthp x  U %s *synth2= ) Parameter OCAL_IDLE bound to: 5'b00000 2default:defaulthp x  ] %s *synth2E 1 Parameter OCAL_NEW_DQS_WAIT bound to: 5'b00001 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG3_SEL bound to: 5'b00010 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_STG3_SEL_WAIT bound to: 5'b00011 2default:defaulthp x  ] %s *synth2E 1 Parameter OCAL_STG3_EN_WAIT bound to: 5'b00100 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG3_DEC bound to: 5'b00101 2default:defaulthp x  Z %s *synth2B . Parameter OCAL_STG3_WAIT bound to: 5'b00110 2default:defaulthp x  Z %s *synth2B . Parameter OCAL_STG3_CALC bound to: 5'b00111 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG3_INC bound to: 5'b01000 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_STG3_INC_WAIT bound to: 5'b01001 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG2_SEL bound to: 5'b01010 2default:defaulthp x  Z %s *synth2B . Parameter OCAL_STG2_WAIT bound to: 5'b01011 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG2_INC bound to: 5'b01100 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_STG2_DEC bound to: 5'b01101 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_STG2_DEC_WAIT bound to: 5'b01110 2default:defaulthp x  Y %s *synth2A - Parameter OCAL_NEXT_DQS bound to: 5'b01111 2default:defaulthp x  ] %s *synth2E 1 Parameter OCAL_NEW_DQS_READ bound to: 5'b10000 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_INC_DONE_WAIT bound to: 5'b10001 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_STG3_DEC_WAIT bound to: 5'b10010 2default:defaulthp x  ^ %s *synth2F 2 Parameter OCAL_DEC_DONE_WAIT bound to: 5'b10011 2default:defaulthp x  U %s *synth2= ) Parameter OCAL_DONE bound to: 5'b10100 2default:defaulthp x   -case statement is not full and has no default155*oasys2n XD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_oclkdelay_cal.v2default:default2 8892default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2: &mig_7series_v1_9_ddr_phy_oclkdelay_cal2default:default2 2default:default2 692default:default2 12default:default2n XD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_oclkdelay_cal.v2default:default2 692default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2: &mig_7series_v1_9_ddr_phy_dqs_found_cal2default:default2 2default:default2n XD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_dqs_found_cal.v2default:default2 792default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCL bound to: 13 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nCWL bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  h %s *synth2P < Parameter NUM_DQSFOUND_CAL bound to: 1020 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter N_CTL_LANES bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter HIGHEST_LANE bound to: 12 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter HIGHEST_BANK bound to: 3 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B0 bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B1 bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B2 bound to: 4'b1100 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B3 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter BYTE_LANES_B4 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B0 bound to: 4'b0011 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B1 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B2 bound to: 4'b1100 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B3 bound to: 4'b0000 2default:defaulthp x  V %s *synth2> * Parameter DATA_CTL_B4 bound to: 4'b0000 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter CWL_M bound to: 9 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter LATENCY_FACTOR bound to: 13 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter NUM_READS bound to: 7 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_PRESENT bound to: 20'b00000000110000000011 2default:defaulthp x  X %s *synth2@ , Parameter FINE_ADJ_IDLE bound to: 4'b0000 2default:defaulthp x  W %s *synth2? + Parameter RST_POSTWAIT bound to: 4'b0001 2default:defaulthp x  X %s *synth2@ , Parameter RST_POSTWAIT1 bound to: 4'b0010 2default:defaulthp x  S %s *synth2; ' Parameter RST_WAIT bound to: 4'b0011 2default:defaulthp x  X %s *synth2@ , Parameter FINE_ADJ_INIT bound to: 4'b0100 2default:defaulthp x  S %s *synth2; ' Parameter FINE_INC bound to: 4'b0101 2default:defaulthp x  X %s *synth2@ , Parameter FINE_INC_WAIT bound to: 4'b0110 2default:defaulthp x  [ %s *synth2C / Parameter FINE_INC_PREWAIT bound to: 4'b0111 2default:defaulthp x  Y %s *synth2A - Parameter DETECT_PREWAIT bound to: 4'b1000 2default:defaulthp x  Z %s *synth2B . Parameter DETECT_DQSFOUND bound to: 4'b1001 2default:defaulthp x  U %s *synth2= ) Parameter PRECH_WAIT bound to: 4'b1010 2default:defaulthp x  S %s *synth2; ' Parameter FINE_DEC bound to: 4'b1011 2default:defaulthp x  X %s *synth2@ , Parameter FINE_DEC_WAIT bound to: 4'b1100 2default:defaulthp x  [ %s *synth2C / Parameter FINE_DEC_PREWAIT bound to: 4'b1101 2default:defaulthp x  U %s *synth2= ) Parameter FINAL_WAIT bound to: 4'b1110 2default:defaulthp x  X %s *synth2@ , Parameter FINE_ADJ_DONE bound to: 4'b1111 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2: &mig_7series_v1_9_ddr_phy_dqs_found_cal2default:default2 2default:default2 702default:default2 12default:default2n XD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_dqs_found_cal.v2default:default2 792default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys27 #mig_7series_v1_9_ddr_phy_prbs_rdlvl2default:default2 2default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_prbs_rdlvl.v2default:default2 792default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter PRBS_WIDTH bound to: 8 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter PRBS_IDLE bound to: 6'b000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter PRBS_NEW_DQS_WAIT bound to: 6'b000001 2default:defaulthp x  ] %s *synth2E 1 Parameter PRBS_PAT_COMPARE bound to: 6'b000010 2default:defaulthp x  Y %s *synth2A - Parameter PRBS_DEC_DQS bound to: 6'b000011 2default:defaulthp x  ^ %s *synth2F 2 Parameter PRBS_DEC_DQS_WAIT bound to: 6'b000100 2default:defaulthp x  Y %s *synth2A - Parameter PRBS_INC_DQS bound to: 6'b000101 2default:defaulthp x  ^ %s *synth2F 2 Parameter PRBS_INC_DQS_WAIT bound to: 6'b000110 2default:defaulthp x  [ %s *synth2C / Parameter PRBS_CALC_TAPS bound to: 6'b000111 2default:defaulthp x  [ %s *synth2C / Parameter PRBS_TAP_CHECK bound to: 6'b001000 2default:defaulthp x  Z %s *synth2B . Parameter PRBS_NEXT_DQS bound to: 6'b001001 2default:defaulthp x  a %s *synth2I 5 Parameter PRBS_NEW_DQS_PREWAIT bound to: 6'b001010 2default:defaulthp x  V %s *synth2> * Parameter PRBS_DONE bound to: 6'b001011 2default:defaulthp x  c %s *synth2K 7 Parameter NUM_SAMPLES_CNT bound to: 12'b111111111111 2default:defaulthp x  d %s *synth2L 8 Parameter NUM_SAMPLES_CNT1 bound to: 12'b111111111111 2default:defaulthp x  d %s *synth2L 8 Parameter NUM_SAMPLES_CNT2 bound to: 12'b111111111111 2default:defaulthp x   -case statement is not full and has no default155*oasys2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_prbs_rdlvl.v2default:default2 6612default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys27 #mig_7series_v1_9_ddr_phy_prbs_rdlvl2default:default2 2default:default2 712default:default2 12default:default2k UD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_prbs_rdlvl.v2default:default2 792default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys21 mig_7series_v1_9_ddr_prbs_gen2default:default2 2default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_prbs_gen.v2default:default2 922default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PRBS_WIDTH bound to: 64 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PRBS_SEQ_LEN_CYCLES bound to: 128 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter PRBS_SEQ_LEN_CYCLES_BITS bound to: 7 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys21 mig_7series_v1_9_ddr_prbs_gen2default:default2 2default:default2 722default:default2 12default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_prbs_gen.v2default:default2 922default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys21 mig_7series_v1_9_ddr_phy_init2default:default2 2default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 892default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_ODT_PORT bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter PRBS_WIDTH bound to: 8 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter CA_MIRROR bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter nCS_PER_RANK bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter CS_WIDTH bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CKE_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter REG_CTRL bound to: OFF - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_CMD_MODE bound to: 1T - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CALIB_ROW_ADD bound to: 16'b0000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CALIB_COL_ADD bound to: 12'b000000000000 2default:defaulthp x  V %s *synth2> * Parameter CALIB_BA_ADD bound to: 3'b000 2default:defaulthp x  V %s *synth2> * Parameter AL bound to: 0 - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter BURST_MODE bound to: 8 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter BURST_TYPE bound to: SEQ - type: string 2default:defaulthp x  Y %s *synth2A - Parameter nCL bound to: 13 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter nCWL bound to: 9 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter tRFC bound to: 160000 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter OUTPUT_DRV bound to: LOW - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_NOM bound to: 60 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter RTT_WR bound to: OFF - type: string 2default:defaulthp x  Z %s *synth2B . Parameter WRLVL bound to: ON - type: string 2default:defaulthp x  f %s *synth2N : Parameter DDR2_DQSN_ENABLE bound to: YES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter nSLOTS bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter SIM_INIT_OPTION bound to: NONE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CKE_ODT_AUX bound to: FALSE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  [ %s *synth2C / Parameter TEST_AL bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter NUM_STG1_WR_RD bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter ADDR_INC bound to: 8 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter RTT_NOM2 bound to: 40 - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RTT_NOM3 bound to: 40 - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter RTT_NOM_int bound to: 60 - type: string 2default:defaulthp x  S %s *synth2; ' Parameter BURST4_FLAG bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter CLK_MEM_PERIOD bound to: 1072 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter DDR3_RESET_DELAY_NS bound to: 200000 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter DDR3_CKE_DELAY_NS bound to: 700000 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter DDR2_CKE_DELAY_NS bound to: 200000 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter PWRON_RESET_DELAY_CNT bound to: 47 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter PWRON_CKE_DELAY_CNT bound to: 164 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter DDR2_INIT_PRE_DELAY_PS bound to: 400000 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter DDR2_INIT_PRE_CNT bound to: 93 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TXPR_DELAY_CNT bound to: 50 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter TDLLK_TZQINIT_DELAY_CNT bound to: 255 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter TWR_CYC bound to: 14 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CNTNEXT_CMD bound to: 7'b1111111 2default:defaulthp x  U %s *synth2= ) Parameter INIT_CNT_MR2 bound to: 2'b00 2default:defaulthp x  U %s *synth2= ) Parameter INIT_CNT_MR3 bound to: 2'b01 2default:defaulthp x  U %s *synth2= ) Parameter INIT_CNT_MR1 bound to: 2'b10 2default:defaulthp x  U %s *synth2= ) Parameter INIT_CNT_MR0 bound to: 2'b11 2default:defaulthp x  Y %s *synth2A - Parameter INIT_CNT_MR_DONE bound to: 2'b11 2default:defaulthp x  V %s *synth2> * Parameter REG_RC0 bound to: 8'b00000000 2default:defaulthp x  V %s *synth2> * Parameter REG_RC1 bound to: 8'b00110001 2default:defaulthp x  V %s *synth2> * Parameter REG_RC2 bound to: 8'b00000010 2default:defaulthp x  V %s *synth2> * Parameter REG_RC3 bound to: 8'b00000011 2default:defaulthp x  V %s *synth2> * Parameter REG_RC4 bound to: 8'b00000100 2default:defaulthp x  V %s *synth2> * Parameter REG_RC5 bound to: 8'b00000101 2default:defaulthp x  X %s *synth2@ , Parameter nAL bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter CWL_M bound to: 9 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter PHASELOCKED_TIMEOUT bound to: 16383 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter TG_TIMER_TIMEOUT bound to: 14'b11111111111111 2default:defaulthp x  V %s *synth2> * Parameter INIT_IDLE bound to: 6'b000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_WAIT_CKE_EXIT bound to: 6'b000001 2default:defaulthp x  Y %s *synth2A - Parameter INIT_LOAD_MR bound to: 6'b000010 2default:defaulthp x  ^ %s *synth2F 2 Parameter INIT_LOAD_MR_WAIT bound to: 6'b000011 2default:defaulthp x  V %s *synth2> * Parameter INIT_ZQCL bound to: 6'b000100 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_WAIT_DLLK_ZQINIT bound to: 6'b000101 2default:defaulthp x  ] %s *synth2E 1 Parameter INIT_WRLVL_START bound to: 6'b000110 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT_WRLVL_WAIT bound to: 6'b000111 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_WRLVL_LOAD_MR bound to: 6'b001000 2default:defaulthp x  d %s *synth2L 8 Parameter INIT_WRLVL_LOAD_MR_WAIT bound to: 6'b001001 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_WRLVL_LOAD_MR2 bound to: 6'b001010 2default:defaulthp x  e %s *synth2M 9 Parameter INIT_WRLVL_LOAD_MR2_WAIT bound to: 6'b001011 2default:defaulthp x  [ %s *synth2C / Parameter INIT_RDLVL_ACT bound to: 6'b001100 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_RDLVL_ACT_WAIT bound to: 6'b001101 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_RDLVL_STG1_WRITE bound to: 6'b001110 2default:defaulthp x  g %s *synth2O ; Parameter INIT_RDLVL_STG1_WRITE_READ bound to: 6'b001111 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_RDLVL_STG1_READ bound to: 6'b010000 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_RDLVL_STG2_READ bound to: 6'b010001 2default:defaulthp x  f %s *synth2N : Parameter INIT_RDLVL_STG2_READ_WAIT bound to: 6'b010010 2default:defaulthp x  c %s *synth2K 7 Parameter INIT_PRECHARGE_PREWAIT bound to: 6'b010011 2default:defaulthp x  [ %s *synth2C / Parameter INIT_PRECHARGE bound to: 6'b010100 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_PRECHARGE_WAIT bound to: 6'b010101 2default:defaulthp x  V %s *synth2> * Parameter INIT_DONE bound to: 6'b010110 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_DDR2_PRECHARGE bound to: 6'b010111 2default:defaulthp x  e %s *synth2M 9 Parameter INIT_DDR2_PRECHARGE_WAIT bound to: 6'b011000 2default:defaulthp x  Y %s *synth2A - Parameter INIT_REFRESH bound to: 6'b011001 2default:defaulthp x  ^ %s *synth2F 2 Parameter INIT_REFRESH_WAIT bound to: 6'b011010 2default:defaulthp x  [ %s *synth2C / Parameter INIT_REG_WRITE bound to: 6'b011011 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_REG_WRITE_WAIT bound to: 6'b011100 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_DDR2_MULTI_RANK bound to: 6'b011101 2default:defaulthp x  f %s *synth2N : Parameter INIT_DDR2_MULTI_RANK_WAIT bound to: 6'b011110 2default:defaulthp x  [ %s *synth2C / Parameter INIT_WRCAL_ACT bound to: 6'b011111 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_WRCAL_ACT_WAIT bound to: 6'b100000 2default:defaulthp x  ] %s *synth2E 1 Parameter INIT_WRCAL_WRITE bound to: 6'b100001 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_WRCAL_WRITE_READ bound to: 6'b100010 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT_WRCAL_READ bound to: 6'b100011 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_WRCAL_READ_WAIT bound to: 6'b100100 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_WRCAL_MULT_READS bound to: 6'b100101 2default:defaulthp x  d %s *synth2L 8 Parameter INIT_PI_PHASELOCK_READS bound to: 6'b100110 2default:defaulthp x  Z %s *synth2B . Parameter INIT_MPR_RDEN bound to: 6'b100111 2default:defaulthp x  Z %s *synth2B . Parameter INIT_MPR_WAIT bound to: 6'b101000 2default:defaulthp x  Z %s *synth2B . Parameter INIT_MPR_READ bound to: 6'b101001 2default:defaulthp x  e %s *synth2M 9 Parameter INIT_MPR_DISABLE_PREWAIT bound to: 6'b101010 2default:defaulthp x  ] %s *synth2E 1 Parameter INIT_MPR_DISABLE bound to: 6'b101011 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_MPR_DISABLE_WAIT bound to: 6'b101100 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_OCLKDELAY_ACT bound to: 6'b101101 2default:defaulthp x  d %s *synth2L 8 Parameter INIT_OCLKDELAY_ACT_WAIT bound to: 6'b101110 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_OCLKDELAY_WRITE bound to: 6'b101111 2default:defaulthp x  f %s *synth2N : Parameter INIT_OCLKDELAY_WRITE_WAIT bound to: 6'b110000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_OCLKDELAY_READ bound to: 6'b110001 2default:defaulthp x  e %s *synth2M 9 Parameter INIT_OCLKDELAY_READ_WAIT bound to: 6'b110010 2default:defaulthp x  c %s *synth2K 7 Parameter INIT_REFRESH_RNK2_WAIT bound to: 6'b110011 2default:defaulthp x   -case statement is not full and has no default155*oasys2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 42972default:default8@Z8-155hpx  default block is never used226*oasys2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 43312default:default8@Z8-226hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys21 mig_7series_v1_9_ddr_phy_init2default:default2 2default:default2 732default:default2 12default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 892default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys22 mig_7series_v1_9_ddr_phy_wrcal2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrcal.v2default:default2 772default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PRE_REV3ES bound to: OFF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RD_SHIFT_LEN bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter NUM_READS bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RDEN_WAIT_CNT bound to: 12 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COARSE_CNT bound to: 3 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter FINE_CNT bound to: 22 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter CAL2_IDLE bound to: 4'b0000 2default:defaulthp x  Y %s *synth2A - Parameter CAL2_READ_WAIT bound to: 4'b0001 2default:defaulthp x  X %s *synth2@ , Parameter CAL2_NEXT_DQS bound to: 4'b0010 2default:defaulthp x  Z %s *synth2B . Parameter CAL2_WRLVL_WAIT bound to: 4'b0011 2default:defaulthp x  [ %s *synth2C / Parameter CAL2_IFIFO_RESET bound to: 4'b0100 2default:defaulthp x  [ %s *synth2C / Parameter CAL2_DQ_IDEL_DEC bound to: 4'b0101 2default:defaulthp x  T %s *synth2< ( Parameter CAL2_DONE bound to: 4'b0110 2default:defaulthp x  [ %s *synth2C / Parameter CAL2_SANITY_WAIT bound to: 4'b0111 2default:defaulthp x  S %s *synth2; ' Parameter CAL2_ERR bound to: 4'b1000 2default:defaulthp x   -case statement is not full and has no default155*oasys2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrcal.v2default:default2 11112default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys22 mig_7series_v1_9_ddr_phy_wrcal2default:default2 2default:default2 742default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_wrcal.v2default:default2 772default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys22 mig_7series_v1_9_ddr_phy_rdlvl2default:default2 2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_rdlvl.v2default:default2 812default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLK_PERIOD bound to: 4288 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter DQS_CNT_WIDTH bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DQS_WIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter DRAM_WIDTH bound to: 8 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter PER_BIT_DESKEW bound to: OFF - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SIM_CAL_OPTION bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEBUG_PORT bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DRAM_TYPE bound to: DDR3 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter OCAL_EN bound to: ON - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter MIN_EYE_SIZE bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL_PAT_LEN bound to: 8 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RD_SHIFT_LEN bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter RD_SHIFT_COMP_DELAY bound to: 5 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SR_VALID_DELAY bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PIPE_WAIT_CNT bound to: 16 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter DETECT_EDGE_SAMPLE_CNT0 bound to: 12'b000000000001 2default:defaulthp x  k %s *synth2S ? Parameter DETECT_EDGE_SAMPLE_CNT1 bound to: 12'b000000000001 2default:defaulthp x  V %s *synth2> * Parameter CAL1_IDLE bound to: 6'b000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter CAL1_NEW_DQS_WAIT bound to: 6'b000001 2default:defaulthp x  b %s *synth2J 6 Parameter CAL1_STORE_FIRST_WAIT bound to: 6'b000010 2default:defaulthp x  \ %s *synth2D 0 Parameter CAL1_PAT_DETECT bound to: 6'b000011 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_DQ_IDEL_TAP_INC bound to: 6'b000100 2default:defaulthp x  f %s *synth2N : Parameter CAL1_DQ_IDEL_TAP_INC_WAIT bound to: 6'b000101 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_DQ_IDEL_TAP_DEC bound to: 6'b000110 2default:defaulthp x  f %s *synth2N : Parameter CAL1_DQ_IDEL_TAP_DEC_WAIT bound to: 6'b000111 2default:defaulthp x  ] %s *synth2E 1 Parameter CAL1_DETECT_EDGE bound to: 6'b001000 2default:defaulthp x  ^ %s *synth2F 2 Parameter CAL1_IDEL_INC_CPT bound to: 6'b001001 2default:defaulthp x  c %s *synth2K 7 Parameter CAL1_IDEL_INC_CPT_WAIT bound to: 6'b001010 2default:defaulthp x  [ %s *synth2C / Parameter CAL1_CALC_IDEL bound to: 6'b001011 2default:defaulthp x  ^ %s *synth2F 2 Parameter CAL1_IDEL_DEC_CPT bound to: 6'b001100 2default:defaulthp x  c %s *synth2K 7 Parameter CAL1_IDEL_DEC_CPT_WAIT bound to: 6'b001101 2default:defaulthp x  Z %s *synth2B . Parameter CAL1_NEXT_DQS bound to: 6'b001110 2default:defaulthp x  V %s *synth2> * Parameter CAL1_DONE bound to: 6'b001111 2default:defaulthp x  e %s *synth2M 9 Parameter CAL1_PB_STORE_FIRST_WAIT bound to: 6'b010000 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL1_PB_DETECT_EDGE bound to: 6'b010001 2default:defaulthp x  \ %s *synth2D 0 Parameter CAL1_PB_INC_CPT bound to: 6'b010010 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_PB_INC_CPT_WAIT bound to: 6'b010011 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_PB_DEC_CPT_LEFT bound to: 6'b010100 2default:defaulthp x  f %s *synth2N : Parameter CAL1_PB_DEC_CPT_LEFT_WAIT bound to: 6'b010101 2default:defaulthp x  c %s *synth2K 7 Parameter CAL1_PB_DETECT_EDGE_DQ bound to: 6'b010110 2default:defaulthp x  [ %s *synth2C / Parameter CAL1_PB_INC_DQ bound to: 6'b010111 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL1_PB_INC_DQ_WAIT bound to: 6'b011000 2default:defaulthp x  \ %s *synth2D 0 Parameter CAL1_PB_DEC_CPT bound to: 6'b011001 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_PB_DEC_CPT_WAIT bound to: 6'b011010 2default:defaulthp x  [ %s *synth2C / Parameter CAL1_REGL_LOAD bound to: 6'b011011 2default:defaulthp x  [ %s *synth2C / Parameter CAL1_RDLVL_ERR bound to: 6'b011100 2default:defaulthp x  b %s *synth2J 6 Parameter CAL1_MPR_NEW_DQS_WAIT bound to: 6'b011101 2default:defaulthp x  \ %s *synth2D 0 Parameter CAL1_VALID_WAIT bound to: 6'b011110 2default:defaulthp x  ` %s *synth2H 4 Parameter CAL1_MPR_PAT_DETECT bound to: 6'b011111 2default:defaulthp x  a %s *synth2I 5 Parameter CAL1_NEW_DQS_PREWAIT bound to: 6'b100000 2default:defaulthp x   -case statement is not full and has no default155*oasys2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_rdlvl.v2default:default2 26982default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys22 mig_7series_v1_9_ddr_phy_rdlvl2default:default2 2default:default2 752default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_rdlvl.v2default:default2 812default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys24 mig_7series_v1_9_ddr_phy_tempmon2default:default2 2default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_tempmon.v2default:default2 692default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter BAND1_TEMP_MIN bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND2_TEMP_MIN bound to: 12 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND3_TEMP_MIN bound to: 46 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND4_TEMP_MIN bound to: 82 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TEMP_HYST bound to: 5 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter HYST_OFFSET bound to: 40 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND1_OFFSET bound to: 2218 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND2_OFFSET bound to: 2316 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND3_OFFSET bound to: 2592 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter BAND4_OFFSET bound to: 2885 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND0_DEC_OFFSET bound to: 2178 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND1_INC_OFFSET bound to: 2258 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND1_DEC_OFFSET bound to: 2276 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND2_INC_OFFSET bound to: 2356 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND2_DEC_OFFSET bound to: 2552 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND3_INC_OFFSET bound to: 2632 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND3_DEC_OFFSET bound to: 2845 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BAND4_INC_OFFSET bound to: 2925 - type: integer 2default:defaulthp x  M %s *synth25 ! Parameter INIT bound to: 2'b00 2default:defaulthp x  M %s *synth25 ! Parameter IDLE bound to: 2'b01 2default:defaulthp x  O %s *synth27 # Parameter UPDATE bound to: 2'b10 2default:defaulthp x  M %s *synth25 ! Parameter WAIT bound to: 2'b11 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys24 mig_7series_v1_9_ddr_phy_tempmon2default:default2 2default:default2 762default:default2 12default:default2h RD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_tempmon.v2default:default2 692default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys22 mig_7series_v1_9_ddr_calib_top2default:default2 2default:default2 772default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_calib_top.v2default:default2 822default:default8@Z8-6155hpx  %done synthesizing module '%s' (%s#%s)256*oasys20 mig_7series_v1_9_ddr_phy_top2default:default2 782default:default2 12default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_top.vhd2default:default2 3422default:default8@Z8-256hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2. mig_7series_v1_9_mem_intfc2default:default2 2default:default2 792default:default2 12default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ip_top/mig_7series_v1_9_mem_intfc.v2default:default2 702default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2+ mig_7series_v1_9_ui_top2default:default2 2default:default2^ HD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_top.v2default:default2 712default:default8@Z8-6157hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter APP_DATA_WIDTH bound to: 256 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter APP_MASK_WIDTH bound to: 32 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter CWL bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter REG_CTRL bound to: ON - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter CWL_M bound to: 10 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2+ mig_7series_v1_9_ui_cmd2default:default2 2default:default2^ HD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_cmd.v2default:default2 702default:default8@Z8-6157hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-61572default:default2 1002default:defaultZ17-14hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter ADDR_WIDTH bound to: 28 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter BANK_WIDTH bound to: 3 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter COL_WIDTH bound to: 10 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RANK_WIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ROW_WIDTH bound to: 14 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter RANKS bound to: 1 - type: integer 2default:defaulthp x  p %s *synth2X D Parameter MEM_ADDR_ORDER bound to: BANK_ROW_COLUMN - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2+ mig_7series_v1_9_ui_cmd2default:default2 2default:default2 802default:default2 12default:default2^ HD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_cmd.v2default:default2 702default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter APP_DATA_WIDTH bound to: 256 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter APP_MASK_WIDTH bound to: 32 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ECC_TEST bound to: OFF - type: string 2default:defaulthp x  Y %s *synth2A - Parameter CWL bound to: 10 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter PNTR_RAM_CNT bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WR_BUF_WIDTH bound to: 288 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter FULL_RAM_CNT bound to: 48 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REMAINDER bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter RAM_CNT bound to: 48 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RAM_WIDTH bound to: 288 - type: integer 2default:defaulthp x   -case statement is not full and has no default155*oasys2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_wr_data.v2default:default2 3422default:default8@Z8-155hpx  -case statement is not full and has no default155*oasys2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_wr_data.v2default:default2 3802default:default8@Z8-155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_ui_wr_data2default:default2 2default:default2 812default:default2 12default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_wr_data.v2default:default2 1312default:default8@Z8-6155hpx Z %s *synth2B . Parameter TCQ bound to: 100 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter APP_DATA_WIDTH bound to: 256 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DATA_BUF_ADDR_WIDTH bound to: 5 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter ECC bound to: OFF - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter nCK_PER_CLK bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ORDERING bound to: NORM - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter RD_BUF_WIDTH bound to: 256 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter FULL_RAM_CNT bound to: 42 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter REMAINDER bound to: 4 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter RAM_CNT bound to: 43 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RAM_WIDTH bound to: 258 - type: integer 2default:defaulthp x   -case statement is not full and has no default155*oasys2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 3922default:default8@Z8-155hpx  8referenced signal '%s' should be on the sensitivity list567*oasys2- not_strict_mode.occ_cnt_r2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 3892default:default8@Z8-567hpx  8referenced signal '%s' should be on the sensitivity list567*oasys2/ not_strict_mode.free_rd_buf2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 3892default:default8@Z8-567hpx  8referenced signal '%s' should be on the sensitivity list567*oasys21 not_strict_mode.occ_minus_one2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 3892default:default8@Z8-567hpx  8referenced signal '%s' should be on the sensitivity list567*oasys20 not_strict_mode.occ_plus_one2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 3892default:default8@Z8-567hpx  8referenced signal '%s' should be on the sensitivity list567*oasys2: ¬_strict_mode.rd_data_buf_addr_r_lcl2default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 4182default:default8@Z8-567hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ mig_7series_v1_9_ui_rd_data2default:default2 2default:default2 822default:default2 12default:default2b LD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ui/mig_7series_v1_9_ui_rd_data.v2default:default2 1402default:default8@Z8-6155hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-61552default:default2 1002default:defaultZ17-14hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ddr3_1_9a2default:default2 852default:default2 12default:default2O 9D:/amc13-firmware/src/common/DDR/ddr3_1_9_a/ddr3_1_9a.vhd2default:default2 5262default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ddr_if2default:default2 862default:default2 12default:default2A +D:/amc13-firmware/src/common/DDR/ddr_if.vhd2default:default2 1012default:default8@Z8-256hpx R %s *synth2: & Parameter RXPOLARITY bound to: 1'b0 2default:defaulthp x  R %s *synth2: & Parameter TXPOLARITY bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 ipbus_if2default:default2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 652default:default8@Z8-638hpx R %s *synth2: & Parameter RXPOLARITY bound to: 1'b0 2default:defaulthp x  R %s *synth2: & Parameter TXPOLARITY bound to: 1'b0 2default:defaulthp x  O %s *synth27 # Parameter MAC_CFG bound to: 1'b0 2default:defaulthp x  N %s *synth26 " Parameter IP_CFG bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter N_OOB bound to: 0 - type: integer 2default:defaulthp x   null port '%s' ignored506*oasys2 oob_in2default:default2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 1112default:default8@Z8-506hpx  null port '%s' ignored506*oasys2 oob_out2default:default2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 1122default:default8@Z8-506hpx  synthesizing module '%s'638*oasys2 ipbus_ctrl2default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd2default:default2 662default:default8@Z8-638hpx O %s *synth27 # Parameter MAC_CFG bound to: 1'b0 2default:defaulthp x  N %s *synth26 " Parameter IP_CFG bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter N_OOB bound to: 0 - type: integer 2default:defaulthp x   null port '%s' ignored506*oasys2 oob_in2default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd2default:default2 602default:default8@Z8-506hpx  null port '%s' ignored506*oasys2 oob_out2default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd2default:default2 612default:default8@Z8-506hpx  synthesizing module '%s'638*oasys2 UDP_if2default:default2W AD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd2default:default2 652default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2$ udp_ipaddr_block2default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_ipaddr_block.vhd2default:default2 282default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_ipaddr_block2default:default2 872default:default2 12default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_ipaddr_block.vhd2default:default2 282default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_rarp_block2default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rarp_block.vhd2default:default2 242default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" udp_rarp_block2default:default2 882default:default2 12default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rarp_block.vhd2default:default2 242default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! udp_build_arp2default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_arp.vhd2default:default2 282default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! udp_build_arp2default:default2 892default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_arp.vhd2default:default2 282default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_build_payload2default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_payload.vhd2default:default2 332default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2% udp_build_payload2default:default2 902default:default2 12default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_payload.vhd2default:default2 332default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_build_ping2default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_ping.vhd2default:default2 312default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" udp_build_ping2default:default2 912default:default2 12default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_ping.vhd2default:default2 312default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2$ udp_build_resend2default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_resend.vhd2default:default2 232default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_build_resend2default:default2 922default:default2 12default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_resend.vhd2default:default2 232default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2$ udp_build_status2default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_status.vhd2default:default2 282default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_build_status2default:default2 932default:default2 12default:default2\ FD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_status.vhd2default:default2 282default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_status_buffer2default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_status_buffer.vhd2default:default2 492default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% udp_status_buffer2default:default2 942default:default2 12default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_status_buffer.vhd2default:default2 492default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 udp_byte_sum2default:default2X BD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_byte_sum.vhd2default:default2 252default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 udp_byte_sum2default:default2 952default:default2 12default:default2X BD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_byte_sum.vhd2default:default2 252default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# udp_do_rx_reset2default:default2[ ED:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_do_rx_reset.vhd2default:default2 192default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# udp_do_rx_reset2default:default2 962default:default2 12default:default2[ ED:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_do_rx_reset.vhd2default:default2 192default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_packet_parser2default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_packet_parser.vhd2default:default2 352default:default8@Z8-638hpx a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% udp_packet_parser2default:default2 972default:default2 12default:default2] GD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_packet_parser.vhd2default:default2 352default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! udp_rxram_mux2default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_mux.vhd2default:default2 542default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! udp_rxram_mux2default:default2 982default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_mux.vhd2default:default2 542default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# udp_DualPortRAM2default:default2[ ED:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram.vhd2default:default2 222default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2# udp_DualPortRAM2default:default2 992default:default2 12default:default2[ ED:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram.vhd2default:default2 222default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_buffer_selector2default:default2_ ID:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd2default:default2 322default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' udp_buffer_selector2default:default2 1002default:default2 12default:default2_ ID:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd2default:default2 322default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_rxram_shim2default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_shim.vhd2default:default2 302default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" udp_rxram_shim2default:default2 1012default:default2 12default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_shim.vhd2default:default2 302default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& udp_DualPortRAM_rx2default:default2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd2default:default2 222default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   default block is never used226*oasys2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd2default:default2 362default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& udp_DualPortRAM_rx2default:default2 1022default:default2 12default:default2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd2default:default2 222default:default8@Z8-256hpx  synthesizing module '%s'638*oasys27 #udp_buffer_selector__parameterized02default:default2_ ID:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd2default:default2 322default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys27 #udp_buffer_selector__parameterized02default:default2 1022default:default2 12default:default2_ ID:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd2default:default2 322default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& udp_DualPortRAM_tx2default:default2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_tx.vhd2default:default2 222default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   default block is never used226*oasys2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_tx.vhd2default:default2 572default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& udp_DualPortRAM_tx2default:default2 1032default:default2 12default:default2^ HD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_tx.vhd2default:default2 222default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_rxtransactor_if2default:default2f PD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd2default:default2 232default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2' udp_rxtransactor_if2default:default2 1042default:default2 12default:default2f PD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd2default:default2 232default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 udp_tx_mux2default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_tx_mux.vhd2default:default2 452default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 udp_tx_mux2default:default2 1052default:default2 12default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_tx_mux.vhd2default:default2 452default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_txtransactor_if2default:default2f PD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_txtransactor_if_simple.vhd2default:default2 352default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' udp_txtransactor_if2default:default2 1062default:default2 12default:default2f PD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_txtransactor_if_simple.vhd2default:default2 352default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2) udp_clock_crossing_if2default:default2a KD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_clock_crossing_if.vhd2default:default2 432default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2) udp_clock_crossing_if2default:default2 1072default:default2 12default:default2a KD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_clock_crossing_if.vhd2default:default2 432default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 UDP_if2default:default2 1082default:default2 12default:default2W AD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd2default:default2 652default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 transactor2default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor.vhd2default:default2 362default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2! transactor_if2default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_if.vhd2default:default2 332default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! transactor_if2default:default2 1092default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_if.vhd2default:default2 332default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! transactor_sm2default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_sm.vhd2default:default2 392default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! transactor_sm2default:default2 1102default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_sm.vhd2default:default2 392default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" transactor_cfg2default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_cfg.vhd2default:default2 272default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" transactor_cfg2default:default2 1112default:default2 12default:default2Z DD:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_cfg.vhd2default:default2 272default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 transactor2default:default2 1122default:default2 12default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor.vhd2default:default2 362default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 stretcher2default:default2U ?D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd2default:default2 212default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2 clock_div2default:default2F 0D:/amc13-firmware/src/common/IPBUS/clock_div.vhd2default:default2 252default:default8@Z8-638hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 clock_div2default:default2 1132default:default2 12default:default2F 0D:/amc13-firmware/src/common/IPBUS/clock_div.vhd2default:default2 252default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 stretcher2default:default2 1142default:default2 12default:default2U ?D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd2default:default2 212default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ipbus_ctrl2default:default2 1152default:default2 12default:default2V @D:/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd2default:default2 662default:default8@Z8-256hpx  5Component port with null array found, Will be ignored4625*oasys2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 3552default:default8@Z8-6778hpx  5Component port with null array found, Will be ignored4625*oasys2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 3562default:default8@Z8-6778hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 S6Link_init2default:default2O 9D:/amc13-firmware/src/common/IPBUS/S6Link/s6link_init.vhd2default:default2 1782default:default8@Z8-638hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: LPM - type: string 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x   synthesizing module '%s'638*oasys2 S6Link2default:default2J 4D:/amc13-firmware/src/common/IPBUS/S6Link/s6link.vhd2default:default2 1822default:default8@Z8-638hpx d %s *synth2L 8 Parameter QPLL_FBDIV_TOP bound to: 16 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  y %s *synth2a M Parameter RX_DFE_KL_CFG2_IN bound to: 32'b00110000000100001101100100001100 2default:defaulthp x  r %s *synth2Z F Parameter PMA_RSV_IN bound to: 32'b00000000000000011000010010000000 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806410508 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PMA_RSV_IN bound to: 99456 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x   synthesizing module '%s'638*oasys2 S6Link_GT2default:default2M 7D:/amc13-firmware/src/common/IPBUS/S6Link/s6link_gt.vhd2default:default2 1682default:default8@Z8-638hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806410508 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PMA_RSV_IN bound to: 99456 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  j %s *synth2R > Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111 2default:defaulthp x  e %s *synth2M 9 Parameter ALIGN_COMMA_WORD bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_MCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_PCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 2default:defaulthp x  n %s *synth2V B Parameter CBCC_DATA_SOURCE_SEL bound to: DECODED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CHAN_BOND_MAX_SKEW bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  k %s *synth2S ? Parameter CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter CHAN_BOND_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter CLK_CORRECT_USE bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_KEEP_IDLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MAX_LAT bound to: 10 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_MIN_LAT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_1 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter CPLL_CFG bound to: 24'b101111000000011111011100 2default:defaulthp x  _ %s *synth2G 3 Parameter CPLL_FBDIV bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CPLL_FBDIV_45 bound to: 5 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CPLL_INIT_CFG bound to: 24'b000000000000000000011110 2default:defaulthp x  e %s *synth2M 9 Parameter CPLL_LOCK_CFG bound to: 16'b0000000111101000 2default:defaulthp x  d %s *synth2L 8 Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DEC_MCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DEC_PCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DEC_VALID_COMMA_ONLY bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DMONITOR_CFG bound to: 24'b000000000000101000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_CONTROL bound to: 6'b000000 2default:defaulthp x  d %s *synth2L 8 Parameter ES_ERRDET_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter ES_EYE_SCAN_EN bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ES_HORZ_OFFSET bound to: 12'b000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter ES_PMA_CFG bound to: 10'b0000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_PRESCALE bound to: 5'b00000 2default:defaulthp x   %s *synth2 x Parameter ES_QUALIFIER bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 x Parameter ES_QUAL_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 y Parameter ES_SDATA_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter ES_VERT_OFFSET bound to: 9'b000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 2default:defaulthp x  ^ %s *synth2F 2 Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111 2default:defaulthp x  j %s *synth2R > Parameter FTS_LANE_DESKEW_EN bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter GEARBOX_MODE bound to: 3'b000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_CPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_RXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_TXPHDLYTSTCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_TXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_TXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter OUTREFCLK_SEL_INV bound to: 2'b11 2default:defaulthp x  c %s *synth2K 7 Parameter PCS_PCIE_EN bound to: FALSE - type: string 2default:defaulthp x   %s *synth2m Y Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 2default:defaulthp x  d %s *synth2L 8 Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00111100 2default:defaulthp x  b %s *synth2J 6 Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV bound to: 99456 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV2 bound to: 16'b0010000001000000 2default:defaulthp x  Q %s *synth29 % Parameter PMA_RSV3 bound to: 2'b00 2default:defaulthp x  p %s *synth2X D Parameter PMA_RSV4 bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RXBUFRESET_TIME bound to: 5'b00001 2default:defaulthp x  f %s *synth2N : Parameter RXBUF_ADDR_MODE bound to: FAST - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b1000 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_OVFLW bound to: 61 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_UNDFLW bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDRFREQRESET_TIME bound to: 5'b00001 2default:defaulthp x  ] %s *synth2E 1 Parameter RXCDRPHRESET_TIME bound to: 5'b00001 2default:defaulthp x   %s *synth2 m Parameter RXCDR_CFG bound to: 72'b000000110000000000000000001000111111111100010000001000000000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter RXCDR_LOCK_CFG bound to: 6'b010101 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter RXDFELPMRESET_TIME bound to: 7'b0001111 2default:defaulthp x  a %s *synth2I 5 Parameter RXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter RXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXISCANRESET_TIME bound to: 5'b00001 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_HF_CFG bound to: 14'b00000011110000 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_LF_CFG bound to: 14'b00000011110000 2default:defaulthp x  W %s *synth2? + Parameter RXOOB_CFG bound to: 7'b0000110 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter RXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter RXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  h %s *synth2P < Parameter RXPH_CFG bound to: 24'b000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter RXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter RXPMARESET_TIME bound to: 5'b00011 2default:defaulthp x  [ %s *synth2C / Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RXSLIDE_MODE bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RX_BIAS_CFG bound to: 12'b000000000100 2default:defaulthp x  Z %s *synth2B . Parameter RX_BUFFER_CFG bound to: 6'b000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_CLK25_DIV bound to: 5 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter RX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter RX_CM_SEL bound to: 2'b00 2default:defaulthp x  T %s *synth2< ( Parameter RX_CM_TRIM bound to: 3'b000 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter RX_DDI_SEL bound to: 6'b000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DEBUG_CFG bound to: 12'b000000000000 2default:defaulthp x  l %s *synth2T @ Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RX_DFE_GAIN_CFG bound to: 24'b000000100000111111101010 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H2_CFG bound to: 12'b000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H3_CFG bound to: 12'b000001000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H4_CFG bound to: 11'b00011110000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H5_CFG bound to: 11'b00011100000 2default:defaulthp x  b %s *synth2J 6 Parameter RX_DFE_KL_CFG bound to: 13'b0000011111110 2default:defaulthp x  k %s *synth2S ? Parameter RX_DFE_KL_CFG2 bound to: 806410508 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_LPM_CFG bound to: 16'b0000100100000100 2default:defaulthp x  d %s *synth2L 8 Parameter RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_UT_CFG bound to: 17'b10001111000000000 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_VP_CFG bound to: 17'b00011111100000011 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DFE_XYD_CFG bound to: 13'b0000000000000 2default:defaulthp x  k %s *synth2S ? Parameter RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_OS_CFG bound to: 13'b0000010000000 2default:defaulthp x  f %s *synth2N : Parameter RX_SIG_VALID_DLY bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RX_XCLK_SEL bound to: RXREC - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MAX_COM bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MIN_COM bound to: 36 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter SATA_BURST_SEQ_LEN bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter SATA_BURST_VAL bound to: 3'b100 2default:defaulthp x  k %s *synth2S ? Parameter SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter SATA_EIDLE_VAL bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_BURST bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_INIT bound to: 21 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MAX_WAKE bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_BURST bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_INIT bound to: 12 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MIN_WAKE bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SHOW_REALIGN_COMMA bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  o %s *synth2W C Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: true - type: string 2default:defaulthp x  l %s *synth2T @ Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: X - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TERM_RCAL_CFG bound to: 5'b10000 2default:defaulthp x  V %s *synth2> * Parameter TERM_RCAL_OVRD bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TRANS_TIME_RATE bound to: 8'b00001110 2default:defaulthp x  o %s *synth2W C Parameter TST_RSV bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter TXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter TXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter TXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter TXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter TXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  ` %s *synth2H 4 Parameter TXPH_CFG bound to: 16'b0000011110000000 2default:defaulthp x  \ %s *synth2D 0 Parameter TXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter TXPMARESET_TIME bound to: 5'b00001 2default:defaulthp x  a %s *synth2I 5 Parameter TX_CLK25_DIV bound to: 5 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter TX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH0 bound to: 5'b00000 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH1 bound to: 5'b00000 2default:defaulthp x  f %s *synth2N : Parameter TX_DRIVE_MODE bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b110 2default:defaulthp x  a %s *synth2I 5 Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b100 2default:defaulthp x  e %s *synth2M 9 Parameter TX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TX_MAINCURSOR_SEL bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_0 bound to: 7'b1001110 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_1 bound to: 7'b1001001 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_2 bound to: 7'b1000101 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_3 bound to: 7'b1000010 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_4 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_0 bound to: 7'b1000110 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_1 bound to: 7'b1000100 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_2 bound to: 7'b1000010 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_3 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000 2default:defaulthp x  Y %s *synth2A - Parameter TX_PREDRIVER_MODE bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter TX_QPI_STATUS_EN bound to: 1'b0 2default:defaulthp x  g %s *synth2O ; Parameter TX_RXDETECT_CFG bound to: 16'b0001100000110010 2default:defaulthp x  Y %s *synth2A - Parameter TX_RXDETECT_REF bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter TX_XCLK_SEL bound to: TXOUT - type: string 2default:defaulthp x  S %s *synth2; ' Parameter UCODEER_CLR bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 S6Link_GT2default:default2 1162default:default2 12default:default2M 7D:/amc13-firmware/src/common/IPBUS/S6Link/s6link_gt.vhd2default:default2 1682default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 S6Link2default:default2 1172default:default2 12default:default2J 4D:/amc13-firmware/src/common/IPBUS/S6Link/s6link.vhd2default:default2 1822default:default8@Z8-256hpx ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2) S6Link_TX_STARTUP_FSM2default:default2Y CD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_tx_startup_fsm.vhd2default:default2 1192default:default8@Z8-638hpx ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2) S6Link_TX_STARTUP_FSM2default:default2 1182default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_tx_startup_fsm.vhd2default:default2 1192default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: LPM - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2) S6Link_RX_STARTUP_FSM2default:default2Y CD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_rx_startup_fsm.vhd2default:default2 1282default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: LPM - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2) S6Link_RX_STARTUP_FSM2default:default2 1192default:default2 12default:default2Y CD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_rx_startup_fsm.vhd2default:default2 1282default:default8@Z8-256hpx \ %s *synth2D 0 Parameter TIMER bound to: 260 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2( S6Link_ADAPT_TOP_LPM2default:default2X BD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_adapt_top_lpm.vhd2default:default2 1042default:default8@Z8-638hpx \ %s *synth2D 0 Parameter TIMER bound to: 260 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter WAIT_CYC bound to: 10 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2( S6Link_adapt_starter2default:default2X BD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_adapt_starter.vhd2default:default2 982default:default8@Z8-638hpx ^ %s *synth2F 2 Parameter WAIT_CYC bound to: 10 - type: integer 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b1 2default:defaulthp x  W %s *synth2? + Parameter IS_CLR_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_C_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_D_INVERTED bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLR_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_C_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_D_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2( S6Link_adapt_starter2default:default2 1202default:default2 12default:default2X BD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_adapt_starter.vhd2default:default2 982default:default8@Z8-256hpx ^ %s *synth2F 2 Parameter usr_clk bound to: 260 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2' S6Link_lpm_loop_fsm2default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 6562default:default8@Z8-638hpx ^ %s *synth2F 2 Parameter usr_clk bound to: 260 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2" drp_wr_fsm_lpm2default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 922default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" drp_wr_fsm_lpm2default:default2 1212default:default2 12default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 922default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# lock_detect_lpm2default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 5512default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# lock_detect_lpm2default:default2 1222default:default2 12default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 5512default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 counter_lpm2default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 6062default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 counter_lpm2default:default2 1232default:default2 12default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 6062default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2' S6Link_lpm_loop_fsm2default:default2 1242default:default2 12default:default2W AD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_lpm_loop_fsm.vhd2default:default2 6562default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2( S6Link_ADAPT_TOP_LPM2default:default2 1252default:default2 12default:default2X BD:/amc13-firmware/src/common/IPBUS/S6Link/s6link_adapt_top_lpm.vhd2default:default2 1042default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 S6Link_init2default:default2 1262default:default2 12default:default2O 9D:/amc13-firmware/src/common/IPBUS/S6Link/s6link_init.vhd2default:default2 1782default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ipbus_if2default:default2 1272default:default2 12default:default2E /D:/amc13-firmware/src/common/IPBUS/ipbus_if.vhd2default:default2 652default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 mem_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SN2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 conf2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 mon_ctrl2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 IgnoreDAQ2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_down2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_Ready2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 Dis_pd2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 enSFP2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_en2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 LSC_ID2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TxDisable_i2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TxFault2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTC_LOL2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_LOS2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_ABS2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_status2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 BC0_lock2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 BX_offset2SC2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 Source_ID2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 OC_off2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 BCN_off2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 CalibCtrl2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ddr_pa2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 mon_evt_wc2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 mon_evt_cnt2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 mon_buf_avl2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2# wport_FIFO_full2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 wport_rdy2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 evt_data_rdy2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 DataRate2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 LiveTime2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 fake_length2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTS_coded2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 state2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 pattern2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTS_RQST2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTS_disable2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 trig_BX2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 LocalL1A_cfg2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 DNA2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 status_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_down_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_status_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_status_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 DataRate_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 LiveTime_l2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_DATA2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2! TTC_cntr_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 I2C_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 sysmon_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 SFP_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ttc_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2" HCAL_trig_data2default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 14042default:default8@Z8-614hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2 AMC_if2default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 1072default:default8@Z8-638hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 32 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: SDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 36 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 36 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized12default:default2 1272default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 60 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 60 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized22default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 60 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 60 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: SDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 72 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 72 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized22default:default2 1272default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ThreeSFP2default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 7252default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TwoSFP2default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 7252default:default8@Z8-614hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 72 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 72 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized32default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 72 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 72 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: SDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 72 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 72 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized32default:default2 1272default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 evt_bldr2default:default2I 3D:/amc13-firmware/src/common/AMC_if/evt_bldrNew.vhd2default:default2 672default:default8@Z8-638hpx  %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 wc_fifo_wa2default:default2I 3D:/amc13-firmware/src/common/AMC_if/evt_bldrNew.vhd2default:default2 4282default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 wc_fifo_ra2default:default2I 3D:/amc13-firmware/src/common/AMC_if/evt_bldrNew.vhd2default:default2 4282default:default8@Z8-614hpx  synthesizing module '%s'638*oasys2" EthernetCRCD642default:default2L 6D:/amc13-firmware/src/common/AMC_if/EthernetCRCD64.vhd2default:default2 672default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" EthernetCRCD642default:default2 1282default:default2 12default:default2L 6D:/amc13-firmware/src/common/AMC_if/EthernetCRCD64.vhd2default:default2 672default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 cmsCRC642default:default2F 0D:/amc13-firmware/src/common/AMC_if/cmsCRC64.vhd2default:default2 462default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 cmsCRC642default:default2 1292default:default2 12default:default2F 0D:/amc13-firmware/src/common/AMC_if/cmsCRC64.vhd2default:default2 462default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized12default:default2 1292default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000010000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized22default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000100000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO18 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x  p %s *synth2X D Parameter INIT bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  q %s *synth2Y E Parameter SRVAL bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized22default:default2 1292default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2+ unimacro_BRAM_TDP_MACRO2default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2+ unimacro_BRAM_TDP_MACRO2default:default2 1302default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized02default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized02default:default2 1302default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-256hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 evt_bldr2default:default2 1312default:default2 12default:default2I 3D:/amc13-firmware/src/common/AMC_if/evt_bldrNew.vhd2default:default2 672default:default8@Z8-256hpx W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2 AMC_Link2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_Link.vhd2default:default2 1032default:default8@Z8-638hpx W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 RXDATA2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_Link.vhd2default:default2 4842default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTS_in2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_Link.vhd2default:default2 4842default:default8@Z8-614hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2 TTC_trigger2default:default2I 3D:/amc13-firmware/src/common/AMC_if/TTC_trigger.vhd2default:default2 492default:default8@Z8-638hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 TTC_lock_i2default:default2I 3D:/amc13-firmware/src/common/AMC_if/TTC_trigger.vhd2default:default2 912default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 MatchCntr2default:default2I 3D:/amc13-firmware/src/common/AMC_if/TTC_trigger.vhd2default:default2 1142default:default8@Z8-614hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 TTC_trigger2default:default2 1322default:default2 12default:default2I 3D:/amc13-firmware/src/common/AMC_if/TTC_trigger.vhd2default:default2 492default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! HammingDecode2default:default2K 5D:/amc13-firmware/src/common/AMC_if/HammingDecode.vhd2default:default2 172default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! HammingDecode2default:default2 1332default:default2 12default:default2K 5D:/amc13-firmware/src/common/AMC_if/HammingDecode.vhd2default:default2 172default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 test2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_Link.vhd2default:default2 9442default:default8@Z8-614hpx  synthesizing module '%s'638*oasys2 crc16D162default:default2F 0D:/amc13-firmware/src/common/AMC_if/crc16D16.vhd2default:default2 162default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 crc16D162default:default2 1342default:default2 12default:default2F 0D:/amc13-firmware/src/common/AMC_if/crc16D16.vhd2default:default2 162default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# EthernetCRCD16B2default:default2M 7D:/amc13-firmware/src/common/AMC_if/EthernetCRCD16B.vhd2default:default2 672default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# EthernetCRCD16B2default:default2 1352default:default2 12default:default2M 7D:/amc13-firmware/src/common/AMC_if/EthernetCRCD16B.vhd2default:default2 672default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized42default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 18 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized42default:default2 1352default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 4 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2! AMC_DATA_FIFO2default:default2K 5D:/amc13-firmware/src/common/AMC_if/AMC_DATA_FIFO.vhd2default:default2 522default:default8@Z8-638hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized32default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized32default:default2 1352default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized42default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized42default:default2 1352default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized52default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000000000110 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 4 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized52default:default2 1352default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! AMC_DATA_FIFO2default:default2 1362default:default2 12default:default2K 5D:/amc13-firmware/src/common/AMC_if/AMC_DATA_FIFO.vhd2default:default2 522default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized52default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 18 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 18 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized52default:default2 1362default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 AMC_Link2default:default2 1372default:default2 12default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_Link.vhd2default:default2 1032default:default8@Z8-256hpx W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 14 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2 AMC_wrapper2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 732default:default8@Z8-638hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 Dis_pd2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 8642default:default8@Z8-614hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2$ amc_gtx5Gpd_init2default:default2Z DD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_init.vhd2default:default2 11732default:default8@Z8-638hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'638*oasys2( amc_gtx5Gpd_multi_gt2default:default2^ HD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_multi_gt.vhd2default:default2 11582default:default8@Z8-638hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  y %s *synth2a M Parameter RX_DFE_KL_CFG2_IN bound to: 32'b00110000000100010100100010101100 2default:defaulthp x  r %s *synth2Z F Parameter PMA_RSV_IN bound to: 32'b00000000000111100111000010000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2" amc_gtx5Gpd_GT2default:default2X BD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_gt.vhd2default:default2 1802default:default8@Z8-638hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111 2default:defaulthp x  e %s *synth2M 9 Parameter ALIGN_COMMA_WORD bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_MCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_PCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 2default:defaulthp x  n %s *synth2V B Parameter CBCC_DATA_SOURCE_SEL bound to: DECODED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CHAN_BOND_MAX_SKEW bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  k %s *synth2S ? Parameter CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter CHAN_BOND_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter CLK_CORRECT_USE bound to: TRUE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_KEEP_IDLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MAX_LAT bound to: 15 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MIN_LAT bound to: 12 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_1 bound to: 10'b0111111011 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_2 bound to: 10'b0111011100 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_SEQ_LEN bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter CPLL_CFG bound to: 24'b101111000000011111011100 2default:defaulthp x  _ %s *synth2G 3 Parameter CPLL_FBDIV bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CPLL_FBDIV_45 bound to: 4 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CPLL_INIT_CFG bound to: 24'b000000000000000000011110 2default:defaulthp x  e %s *synth2M 9 Parameter CPLL_LOCK_CFG bound to: 16'b0000000111101000 2default:defaulthp x  d %s *synth2L 8 Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DEC_MCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DEC_PCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter DEC_VALID_COMMA_ONLY bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DMONITOR_CFG bound to: 24'b000000000000101000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_CONTROL bound to: 6'b000000 2default:defaulthp x  d %s *synth2L 8 Parameter ES_ERRDET_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter ES_EYE_SCAN_EN bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ES_HORZ_OFFSET bound to: 12'b000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter ES_PMA_CFG bound to: 10'b0000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_PRESCALE bound to: 5'b00000 2default:defaulthp x   %s *synth2 x Parameter ES_QUALIFIER bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 x Parameter ES_QUAL_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 y Parameter ES_SDATA_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter ES_VERT_OFFSET bound to: 9'b000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 2default:defaulthp x  ^ %s *synth2F 2 Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111 2default:defaulthp x  j %s *synth2R > Parameter FTS_LANE_DESKEW_EN bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter GEARBOX_MODE bound to: 3'b000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_CPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_RXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_TXPHDLYTSTCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_TXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_TXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter OUTREFCLK_SEL_INV bound to: 2'b11 2default:defaulthp x  c %s *synth2K 7 Parameter PCS_PCIE_EN bound to: FALSE - type: string 2default:defaulthp x   %s *synth2m Y Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 2default:defaulthp x  d %s *synth2L 8 Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00111100 2default:defaulthp x  b %s *synth2J 6 Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 2default:defaulthp x  b %s *synth2J 6 Parameter PMA_RSV bound to: 1994880 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV2 bound to: 16'b0010000001010000 2default:defaulthp x  Q %s *synth29 % Parameter PMA_RSV3 bound to: 2'b00 2default:defaulthp x  p %s *synth2X D Parameter PMA_RSV4 bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RXBUFRESET_TIME bound to: 5'b00001 2default:defaulthp x  f %s *synth2N : Parameter RXBUF_ADDR_MODE bound to: FULL - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b1000 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_OVFLW bound to: 61 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_UNDFLW bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDRFREQRESET_TIME bound to: 5'b00001 2default:defaulthp x  ] %s *synth2E 1 Parameter RXCDRPHRESET_TIME bound to: 5'b00001 2default:defaulthp x   %s *synth2 m Parameter RXCDR_CFG bound to: 72'b000000110000000000000000001000111111111100010000001000000000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter RXCDR_LOCK_CFG bound to: 6'b010101 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter RXDFELPMRESET_TIME bound to: 7'b0001111 2default:defaulthp x  a %s *synth2I 5 Parameter RXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter RXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXISCANRESET_TIME bound to: 5'b00001 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_HF_CFG bound to: 14'b00000011110000 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_LF_CFG bound to: 14'b00000011110000 2default:defaulthp x  W %s *synth2? + Parameter RXOOB_CFG bound to: 7'b0000110 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter RXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter RXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  h %s *synth2P < Parameter RXPH_CFG bound to: 24'b000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter RXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter RXPMARESET_TIME bound to: 5'b00011 2default:defaulthp x  [ %s *synth2C / Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RXSLIDE_MODE bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RX_BIAS_CFG bound to: 12'b000000000100 2default:defaulthp x  Z %s *synth2B . Parameter RX_BUFFER_CFG bound to: 6'b000000 2default:defaulthp x  b %s *synth2J 6 Parameter RX_CLK25_DIV bound to: 10 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter RX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter RX_CM_SEL bound to: 2'b11 2default:defaulthp x  T %s *synth2< ( Parameter RX_CM_TRIM bound to: 3'b010 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter RX_DDI_SEL bound to: 6'b000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DEBUG_CFG bound to: 12'b000000000000 2default:defaulthp x  l %s *synth2T @ Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RX_DFE_GAIN_CFG bound to: 24'b000000100000111111101010 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H2_CFG bound to: 12'b000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H3_CFG bound to: 12'b000001000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H4_CFG bound to: 11'b00011110000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H5_CFG bound to: 11'b00011100000 2default:defaulthp x  b %s *synth2J 6 Parameter RX_DFE_KL_CFG bound to: 13'b0000011111110 2default:defaulthp x  k %s *synth2S ? Parameter RX_DFE_KL_CFG2 bound to: 806439084 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_LPM_CFG bound to: 16'b0000100101010100 2default:defaulthp x  d %s *synth2L 8 Parameter RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_UT_CFG bound to: 17'b10001111000000000 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_VP_CFG bound to: 17'b00011111100000011 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DFE_XYD_CFG bound to: 13'b0000000000000 2default:defaulthp x  k %s *synth2S ? Parameter RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_OS_CFG bound to: 13'b0000010000000 2default:defaulthp x  f %s *synth2N : Parameter RX_SIG_VALID_DLY bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RX_XCLK_SEL bound to: RXREC - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MAX_COM bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MIN_COM bound to: 36 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter SATA_BURST_SEQ_LEN bound to: 4'b0101 2default:defaulthp x  X %s *synth2@ , Parameter SATA_BURST_VAL bound to: 3'b111 2default:defaulthp x  k %s *synth2S ? Parameter SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter SATA_EIDLE_VAL bound to: 3'b111 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_BURST bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_INIT bound to: 21 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MAX_WAKE bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_BURST bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_INIT bound to: 12 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MIN_WAKE bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SHOW_REALIGN_COMMA bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  o %s *synth2W C Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: X - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TERM_RCAL_CFG bound to: 5'b10000 2default:defaulthp x  V %s *synth2> * Parameter TERM_RCAL_OVRD bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TRANS_TIME_RATE bound to: 8'b00001110 2default:defaulthp x  o %s *synth2W C Parameter TST_RSV bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter TXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter TXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter TXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter TXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter TXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  ` %s *synth2H 4 Parameter TXPH_CFG bound to: 16'b0000011110000000 2default:defaulthp x  \ %s *synth2D 0 Parameter TXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter TXPMARESET_TIME bound to: 5'b00001 2default:defaulthp x  b %s *synth2J 6 Parameter TX_CLK25_DIV bound to: 10 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter TX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH0 bound to: 5'b00000 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH1 bound to: 5'b00000 2default:defaulthp x  f %s *synth2N : Parameter TX_DRIVE_MODE bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b110 2default:defaulthp x  a %s *synth2I 5 Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b100 2default:defaulthp x  e %s *synth2M 9 Parameter TX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TX_MAINCURSOR_SEL bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_0 bound to: 7'b1001110 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_1 bound to: 7'b1001001 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_2 bound to: 7'b1000101 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_3 bound to: 7'b1000010 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_4 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_0 bound to: 7'b1000110 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_1 bound to: 7'b1000100 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_2 bound to: 7'b1000010 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_3 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000 2default:defaulthp x  Y %s *synth2A - Parameter TX_PREDRIVER_MODE bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter TX_QPI_STATUS_EN bound to: 1'b0 2default:defaulthp x  g %s *synth2O ; Parameter TX_RXDETECT_CFG bound to: 16'b0001100000110010 2default:defaulthp x  Y %s *synth2A - Parameter TX_RXDETECT_REF bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter TX_XCLK_SEL bound to: TXOUT - type: string 2default:defaulthp x  S %s *synth2; ' Parameter UCODEER_CLR bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" amc_gtx5Gpd_GT2default:default2 1382default:default2 12default:default2X BD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_gt.vhd2default:default2 1802default:default8@Z8-256hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2( amc_gtx5Gpd_multi_gt2default:default2 1392default:default2 12default:default2^ HD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_multi_gt.vhd2default:default2 11582default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2. amc_gtx5Gpd_TX_STARTUP_FSM2default:default2d ND:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_tx_startup_fsm.vhd2default:default2 1202default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   synthesizing module '%s'638*oasys2* amc_gtx5Gpd_sync_block2default:default2` JD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_sync_block.vhd2default:default2 972default:default8@Z8-638hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2* amc_gtx5Gpd_sync_block2default:default2 1402default:default2 12default:default2` JD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_sync_block.vhd2default:default2 972default:default8@Z8-256hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2. amc_gtx5Gpd_TX_STARTUP_FSM2default:default2 1412default:default2 12default:default2d ND:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_tx_startup_fsm.vhd2default:default2 1202default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2. amc_gtx5Gpd_RX_STARTUP_FSM2default:default2d ND:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_rx_startup_fsm.vhd2default:default2 1312default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2. amc_gtx5Gpd_RX_STARTUP_FSM2default:default2 1422default:default2 12default:default2d ND:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_rx_startup_fsm.vhd2default:default2 1312default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2$ amc_gtx5Gpd_init2default:default2 1432default:default2 12default:default2Z DD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_init.vhd2default:default2 11732default:default8@Z8-256hpx  -Port '%s' is missing in component declaration4102*oasys2$ qpllrefclksel_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 gtrefclk1_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'638*oasys2& amc_gtx5Gpd_common2default:default2\ FD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_common.vhd2default:default2 942default:default8@Z8-638hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_QPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x   %s *synth2x d Parameter BIAS_CFG bound to: 64'b0000000000000000000001000000000000000000000000000001000000000000 2default:defaulthp x  r %s *synth2Z F Parameter COMMON_CFG bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  b %s *synth2J 6 Parameter IS_QPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter QPLL_CFG bound to: 28'b0000011010000000000110000001 2default:defaulthp x  Z %s *synth2B . Parameter QPLL_CLKOUT_CFG bound to: 4'b0000 2default:defaulthp x  b %s *synth2J 6 Parameter QPLL_COARSE_FREQ_OVRD bound to: 6'b010000 2default:defaulthp x  ` %s *synth2H 4 Parameter QPLL_COARSE_FREQ_OVRD_EN bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter QPLL_CP bound to: 10'b0000011111 2default:defaulthp x  Z %s *synth2B . Parameter QPLL_CP_MONITOR_EN bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter QPLL_DMONITOR_SEL bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter QPLL_FBDIV bound to: 10'b0010000000 2default:defaulthp x  ] %s *synth2E 1 Parameter QPLL_FBDIV_MONITOR_EN bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter QPLL_FBDIV_RATIO bound to: 1'b1 2default:defaulthp x  m %s *synth2U A Parameter QPLL_INIT_CFG bound to: 24'b000000000000000000000110 2default:defaulthp x  e %s *synth2M 9 Parameter QPLL_LOCK_CFG bound to: 16'b0010000111101000 2default:defaulthp x  S %s *synth2; ' Parameter QPLL_LPF bound to: 4'b1111 2default:defaulthp x  d %s *synth2L 8 Parameter QPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_QPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2& amc_gtx5Gpd_common2default:default2 1442default:default2 12default:default2\ FD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_common.vhd2default:default2 942default:default8@Z8-256hpx  -Port '%s' is missing in component declaration4102*oasys2$ qpllrefclksel_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 gtrefclk1_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x   -Port '%s' is missing in component declaration4102*oasys2$ qpllrefclksel_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 gtrefclk1_in2default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 7802default:default8@Z8-5640hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter STABLE_CLOCK_PERIOD bound to: 8 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2, amc_gtx5Gpd_common_reset2default:default2b LD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_common_reset.vhd2default:default2 912default:default8@Z8-638hpx h %s *synth2P < Parameter STABLE_CLOCK_PERIOD bound to: 8 - type: integer 2default:defaulthp x   default block is never used226*oasys2b LD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_common_reset.vhd2default:default2 1332default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2, amc_gtx5Gpd_common_reset2default:default2 1452default:default2 12default:default2b LD:/amc13-firmware/src/common/AMC_if/amc_gtx5Gpd/amc_gtx5gpd_common_reset.vhd2default:default2 912default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 AMC_wrapper2default:default2 1462default:default2 12default:default2I 3D:/amc13-firmware/src/common/AMC_if/AMC_wrapper.vhd2default:default2 732default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 fake_event2default:default2H 2D:/amc13-firmware/src/common/AMC_if/fake_event.vhd2default:default2 592default:default8@Z8-638hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized62default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 18 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO18 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x  p %s *synth2X D Parameter INIT bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  q %s *synth2Y E Parameter SRVAL bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized62default:default2 1462default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 fake_event2default:default2 1472default:default2 12default:default2H 2D:/amc13-firmware/src/common/AMC_if/fake_event.vhd2default:default2 592default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 RAM32x82default:default2E /D:/amc13-firmware/src/common/AMC_if/RAM32x8.vhd2default:default2 412default:default8@Z8-638hpx  %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 RAM32x82default:default2 1482default:default2 12default:default2E /D:/amc13-firmware/src/common/AMC_if/RAM32x8.vhd2default:default2 412default:default8@Z8-256hpx  default block is never used226*oasys2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 14572default:default8@Z8-226hpx  synthesizing module '%s'638*oasys2 AMC_cntr2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_cntr.vhd2default:default2 512default:default8@Z8-638hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 AMC_if_data2default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_cntr.vhd2default:default2 862default:default8@Z8-614hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 18 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 18 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 18 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 18 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized12default:default2 1482default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 16 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 16 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 AMC_cntr2default:default2 1492default:default2 12default:default2F 0D:/amc13-firmware/src/common/AMC_if/AMC_cntr.vhd2default:default2 512default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 L1A_buf_do2default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 16762default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2! AMC_cntr_data2default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 16762default:default8@Z8-614hpx [ %s *synth2C / Parameter CLKCM_CFG bound to: 1 - type: bool 2default:defaulthp x  ] %s *synth2E 1 Parameter CLKRCV_TRST bound to: 1 - type: bool 2default:defaulthp x  U %s *synth2= ) Parameter CLKSWING_CFG bound to: 2'b11 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized62default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 18 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 18 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized62default:default2 1492default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 16 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 16 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 AMC_if2default:default2 1502default:default2 12default:default2D .D:/amc13-firmware/src/common/AMC_if/AMC_if.vhd2default:default2 1072default:default8@Z8-256hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b1 2default:defaulthp x   synthesizing module '%s'638*oasys2 TCPIP_if2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 1172default:default8@Z8-638hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b1 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 check_event2default:default2B ,D:/amc13-firmware/src/common/check_event.vhd2default:default2 472default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 check_event2default:default2 1512default:default2 12default:default2B ,D:/amc13-firmware/src/common/check_event.vhd2default:default2 472default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2$ StopOnCMScrc_err2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 13602default:default8@Z8-614hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 67 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized72default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 67 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 72 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  e %s *synth2M 9 Parameter FIFO_MODE bound to: FIFO36_72 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized72default:default2 1512default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 67 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000000100 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 67 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   -Port '%s' is missing in component declaration4102*oasys2 inject_err2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 5252default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 inject_err2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 5252default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 inject_err2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 5252default:default8@Z8-5640hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 TCPIP2default:default2> (D:/amc13-firmware/src/TCPIP_if/TCPIP.vhd2default:default2 942default:default8@Z8-638hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 XGbEMAC2default:default2@ *D:/amc13-firmware/src/TCPIP_if/XGbEMAC.vhd2default:default2 582default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2" EthernetCRCD322default:default2G 1D:/amc13-firmware/src/TCPIP_if/EthernetCRCD32.vhd2default:default2 662default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" EthernetCRCD322default:default2 1522default:default2 12default:default2G 1D:/amc13-firmware/src/TCPIP_if/EthernetCRCD32.vhd2default:default2 662default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001111111111110000 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000011111110 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111111111111100001110 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001111111100001100 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001111111100001110 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111111111111100001100 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000011111100 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001111111111111110 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001111111100000010 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000010 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111111111111111111100 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000011111100 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111111111111111111110 2default:defaulthp x   synthesizing module '%s'638*oasys2 link_status2default:default2D .D:/amc13-firmware/src/TCPIP_if/link_status.vhd2default:default2 432default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 link_status2default:default2 1532default:default2 12default:default2D .D:/amc13-firmware/src/TCPIP_if/link_status.vhd2default:default2 432default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 XGbEMAC2default:default2 1542default:default2 12default:default2@ *D:/amc13-firmware/src/TCPIP_if/XGbEMAC.vhd2default:default2 582default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 EMAC_Rx_if2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 642default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 36 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 36 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized72default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter READ_WIDTH bound to: 36 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_WIDTH bound to: 36 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: SDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 36 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter SIM_COLLISION_CHECK bound to: NONE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 36 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized72default:default2 1542default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 checksum2default:default2A +D:/amc13-firmware/src/TCPIP_if/checksum.vhd2default:default2 472default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 checksum2default:default2 1552default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/checksum.vhd2default:default2 472default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 waddr2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 raddr2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 start_waddr2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2! chk_IP_chksum2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2! bad_IP_chksum2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 bad_Length2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 is_ICMP2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 is_TCP2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 is_ARP2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 in_states2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 goto_DROP2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 queue_full2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 queue_empty2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 queue_a2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 reset_ext2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 wea2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 wc2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2! rst_IP_chksum2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 IP_chksum_in2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 is_MY_ETH2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2$ bad_IPHDR_chksum2default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 7532default:default8@Z8-614hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-6142default:default2 1002default:defaultZ17-14hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 EMAC_Rx_if2default:default2 1562default:default2 12default:default2C -D:/amc13-firmware/src/TCPIP_if/EMAC_Rx_if.vhd2default:default2 642default:default8@Z8-256hpx  %s *synth2  Parameter INIT bound to: 576'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2 SDP32x182default:default2A +D:/amc13-firmware/src/TCPIP_if/SDP32x18.vhd2default:default2 462default:default8@Z8-638hpx  %s *synth2  Parameter INIT bound to: 576'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000001000000000000000000000000000000010000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000001000000000000000000000000000000010000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 SDP32x182default:default2 1572default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/SDP32x18.vhd2default:default2 462default:default8@Z8-256hpx  %s *synth2  Parameter INIT bound to: 576'b000000000000000000001000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2, SDP32x18__parameterized12default:default2A +D:/amc13-firmware/src/TCPIP_if/SDP32x18.vhd2default:default2 462default:default8@Z8-638hpx  %s *synth2  Parameter INIT bound to: 576'b000000000000000000001000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000010000000000000000000000000000000100000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000001000000000000000000000000000000010000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000000000100000000000000000000000000000001000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000100000000000000000000000000000001000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2v b Parameter INIT_A bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_B bound to: 64'b0000000000000000000001000100000000000000000000000000010001000000 2default:defaulthp x   %s *synth2v b Parameter INIT_C bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2v b Parameter INIT_D bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2, SDP32x18__parameterized12default:default2 1572default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/SDP32x18.vhd2default:default2 462default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000110000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 36 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized82default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000110000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 36 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 18Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000110000000 2default:defaulthp x  ` %s *synth2H 4 Parameter DATA_WIDTH bound to: 36 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  e %s *synth2M 9 Parameter FIFO_MODE bound to: FIFO18_36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x  p %s *synth2X D Parameter INIT bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  q %s *synth2Y E Parameter SRVAL bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized82default:default2 1572default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 FIFO65x8k2default:default2B ,D:/amc13-firmware/src/TCPIP_if/FIFO65x8k.vhd2default:default2 492default:default8@Z8-638hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized92default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2A -unimacro_FIFO_DUALCLOCK_MACRO__parameterized92default:default2 1572default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter READ_WIDTH bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized82default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter READ_WIDTH bound to: 2 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_A bound to: 20'b00000000000000000000 2default:defaulthp x  b %s *synth2J 6 Parameter INIT_B bound to: 20'b00000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_A bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter READ_WIDTH_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_A bound to: 20'b00000000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter SRVAL_B bound to: 20'b00000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_A bound to: WRITE_FIRST - type: string 2default:defaulthp x  j %s *synth2R > Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_A bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_WIDTH_B bound to: 2 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_SDP_MACRO__parameterized82default:default2 1572default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd2default:default2 2172default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 FIFO65x8k2default:default2 1582default:default2 12default:default2B ,D:/amc13-firmware/src/TCPIP_if/FIFO65x8k.vhd2default:default2 492default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2 TCP_OPTION2default:default2C -D:/amc13-firmware/src/TCPIP_if/TCP_OPTION.vhd2default:default2 602default:default8@Z8-638hpx  default block is never used226*oasys2C -D:/amc13-firmware/src/TCPIP_if/TCP_OPTION.vhd2default:default2 1712default:default8@Z8-226hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 TCP_OPTION2default:default2 1592default:default2 12default:default2C -D:/amc13-firmware/src/TCPIP_if/TCP_OPTION.vhd2default:default2 602default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2" TCPdata_chksum2default:default2G 1D:/amc13-firmware/src/TCPIP_if/TCPdata_chksum.vhd2default:default2 492default:default8@Z8-638hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" TCPdata_chksum2default:default2 1602default:default2 12default:default2G 1D:/amc13-firmware/src/TCPIP_if/TCPdata_chksum.vhd2default:default2 492default:default8@Z8-256hpx i %s *synth2Q = Parameter ALMOSTFULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x   synthesizing module '%s'638*oasys2 FIFO65x12k2default:default2C -D:/amc13-firmware/src/TCPIP_if/FIFO65x12k.vhd2default:default2 502default:default8@Z8-638hpx i %s *synth2Q = Parameter ALMOSTFULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2B .unimacro_FIFO_DUALCLOCK_MACRO__parameterized102default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-638hpx j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter EN_SYN bound to: 0 - type: bool 2default:defaulthp x  b %s *synth2J 6 Parameter FIFO_MODE bound to: FIFO36 - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter IS_RDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RDEN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_RSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter IS_WRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_WREN_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2B .unimacro_FIFO_DUALCLOCK_MACRO__parameterized102default:default2 1602default:default2 12default:default2] GD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/FIFO_DUALCLOCK_MACRO.vhd2default:default2 702default:default8@Z8-256hpx k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  k %s *synth2S ? Parameter ALMOST_EMPTY_OFFSET bound to: 16'b0000000010000000 2default:defaulthp x  j %s *synth2R > Parameter ALMOST_FULL_OFFSET bound to: 16'b0000000000001000 2default:defaulthp x  _ %s *synth2G 3 Parameter DATA_WIDTH bound to: 9 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter FIFO_SIZE bound to: 36Kb - type: string 2default:defaulthp x  i %s *synth2Q = Parameter FIRST_WORD_FALL_THROUGH bound to: 1 - type: bool 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 18Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  [ %s *synth2C / Parameter DO_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2| h Parameter INIT bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter READ_WIDTH bound to: 2 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x   %s *synth2} i Parameter SRVAL bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE bound to: WRITE_FIRST - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WRITE_WIDTH bound to: 2 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 FIFO65x12k2default:default2 1612default:default2 12default:default2C -D:/amc13-firmware/src/TCPIP_if/FIFO65x12k.vhd2default:default2 502default:default8@Z8-256hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_B bound to: READ_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized22default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-638hpx ` %s *synth2H 4 Parameter BRAM_SIZE bound to: 36Kb - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter SIM_MODE bound to: SAFE - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_B bound to: READ_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOA_REG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter DOB_REG bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EN_ECC_READ bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter EN_ECC_WRITE bound to: 0 - type: bool 2default:defaulthp x   %s *synth2  Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2  Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  r %s *synth2Z F Parameter INIT_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter INIT_FILE bound to: NONE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENARDEN_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_ENBWREN_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTRAMB_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTREGB_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_A bound to: NONE - type: string 2default:defaulthp x  f %s *synth2N : Parameter RAM_EXTENSION_B bound to: NONE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter RAM_MODE bound to: TDP - type: string 2default:defaulthp x  y %s *synth2a M Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_A bound to: 36 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter READ_WIDTH_B bound to: 36 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string 2default:defaulthp x  j %s *synth2R > Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string 2default:defaulthp x  i %s *synth2Q = Parameter SIM_COLLISION_CHECK bound to: ALL - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  s %s *synth2[ G Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_A bound to: READ_FIRST - type: string 2default:defaulthp x  i %s *synth2Q = Parameter WRITE_MODE_B bound to: READ_FIRST - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_A bound to: 36 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter WRITE_WIDTH_B bound to: 36 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2; 'unimacro_BRAM_TDP_MACRO__parameterized22default:default2 1612default:default2 12default:default2W AD:/Xilinx/Vivado/2020.2/data/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd2default:default2 2242default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 RTO_CALC2default:default2A +D:/amc13-firmware/src/TCPIP_if/RTO_CALC.vhd2default:default2 482default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 RTO_CALC2default:default2 1622default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/RTO_CALC.vhd2default:default2 482default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 TCP_CC2default:default2? )D:/amc13-firmware/src/TCPIP_if/TCP_CC.vhd2default:default2 582default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 TCP_CC2default:default2 1632default:default2 12default:default2? )D:/amc13-firmware/src/TCPIP_if/TCP_CC.vhd2default:default2 582default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 TCPIP2default:default2 1642default:default2 12default:default2> (D:/amc13-firmware/src/TCPIP_if/TCPIP.vhd2default:default2 942default:default8@Z8-256hpx \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter simulation bound to: 0 - type: bool 2default:defaulthp x  T %s *synth2< ( Parameter en_KEEPALIVE bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2# RETXdata_chksum2default:default2H 2D:/amc13-firmware/src/TCPIP_if/RETXdata_chksum.vhd2default:default2 432default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# RETXdata_chksum2default:default2 1652default:default2 12default:default2H 2D:/amc13-firmware/src/TCPIP_if/RETXdata_chksum.vhd2default:default2 432default:default8@Z8-256hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   -Port '%s' is missing in component declaration4102*oasys2 status2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 1712default:default8@Z8-5640hpx  synthesizing module '%s'638*oasys2 XGbEPCS322default:default2D .D:/amc13-firmware/src/common_10G/XGbEPCS32.vhd2default:default2 632default:default8@Z8-638hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2! BLOCK_SYNC_SM2default:default2H 2D:/amc13-firmware/src/common_10G/block_sync_sm.vhd2default:default2 922default:default8@Z8-638hpx ` %s *synth2H 4 Parameter SH_CNT_MAX bound to: 64 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter SH_INVALID_CNT_MAX bound to: 16 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2! BLOCK_SYNC_SM2default:default2 1662default:default2 12default:default2H 2D:/amc13-firmware/src/common_10G/block_sync_sm.vhd2default:default2 922default:default8@Z8-256hpx c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 DESCRAMBLER2default:default2F 0D:/amc13-firmware/src/common_10G/descrambler.vhd2default:default2 922default:default8@Z8-638hpx c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 DESCRAMBLER2default:default2 1672default:default2 12default:default2F 0D:/amc13-firmware/src/common_10G/descrambler.vhd2default:default2 922default:default8@Z8-256hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000001100000000011111 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000111111100000 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b11111111111111110000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter INIT bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 XGbEPCS322default:default2 1682default:default2 12default:default2D .D:/amc13-firmware/src/common_10G/XGbEPCS32.vhd2default:default2 632default:default8@Z8-256hpx  -Port '%s' is missing in component declaration4102*oasys2 status2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 1712default:default8@Z8-5640hpx  -Port '%s' is missing in component declaration4102*oasys2 status2default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 1712default:default8@Z8-5640hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2" SFP3_v2_7_init2default:default2g QD:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_init.vhd2default:default2 3552default:default8@Z8-638hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x   synthesizing module '%s'638*oasys2 SFP3_v2_72default:default2G 1D:/amc13-firmware/src/common_10G/my_sfp3_v2_7.vhd2default:default2 3602default:default8@Z8-638hpx d %s *synth2L 8 Parameter QPLL_FBDIV_TOP bound to: 66 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  y %s *synth2a M Parameter RX_DFE_KL_CFG2_IN bound to: 32'b00110000000100010100100010101100 2default:defaulthp x  r %s *synth2Z F Parameter PMA_RSV_IN bound to: 32'b00000000000111100111000010000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2 SFP3_v2_7_GT2default:default2L 6D:/amc13-firmware/src/common_10G/SFP3/sfp3_v2_7_gt.vhd2default:default2 1752default:default8@Z8-638hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111 2default:defaulthp x  e %s *synth2M 9 Parameter ALIGN_COMMA_WORD bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter ALIGN_MCOMMA_DET bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 2default:defaulthp x  h %s *synth2P < Parameter ALIGN_PCOMMA_DET bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 2default:defaulthp x  n %s *synth2V B Parameter CBCC_DATA_SOURCE_SEL bound to: ENCODED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CHAN_BOND_MAX_SKEW bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  k %s *synth2S ? Parameter CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter CHAN_BOND_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter CLK_CORRECT_USE bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_KEEP_IDLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MAX_LAT bound to: 19 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MIN_LAT bound to: 15 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_1 bound to: 10'b0100000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_1 bound to: 10'b0100000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter CPLL_CFG bound to: 24'b101111000000011111011100 2default:defaulthp x  _ %s *synth2G 3 Parameter CPLL_FBDIV bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CPLL_FBDIV_45 bound to: 5 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CPLL_INIT_CFG bound to: 24'b000000000000000000011110 2default:defaulthp x  e %s *synth2M 9 Parameter CPLL_LOCK_CFG bound to: 16'b0000000111101000 2default:defaulthp x  d %s *synth2L 8 Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter DEC_MCOMMA_DETECT bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter DEC_PCOMMA_DETECT bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DEC_VALID_COMMA_ONLY bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DMONITOR_CFG bound to: 24'b000000000000101000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_CONTROL bound to: 6'b000000 2default:defaulthp x  d %s *synth2L 8 Parameter ES_ERRDET_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter ES_EYE_SCAN_EN bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ES_HORZ_OFFSET bound to: 12'b000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter ES_PMA_CFG bound to: 10'b0000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_PRESCALE bound to: 5'b00000 2default:defaulthp x   %s *synth2 x Parameter ES_QUALIFIER bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 x Parameter ES_QUAL_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 y Parameter ES_SDATA_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter ES_VERT_OFFSET bound to: 9'b000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 2default:defaulthp x  ^ %s *synth2F 2 Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111 2default:defaulthp x  j %s *synth2R > Parameter FTS_LANE_DESKEW_EN bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter GEARBOX_MODE bound to: 3'b001 2default:defaulthp x  b %s *synth2J 6 Parameter IS_CPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_RXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_TXPHDLYTSTCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_TXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_TXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter OUTREFCLK_SEL_INV bound to: 2'b11 2default:defaulthp x  c %s *synth2K 7 Parameter PCS_PCIE_EN bound to: FALSE - type: string 2default:defaulthp x   %s *synth2m Y Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 2default:defaulthp x  d %s *synth2L 8 Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00011001 2default:defaulthp x  b %s *synth2J 6 Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 2default:defaulthp x  b %s *synth2J 6 Parameter PMA_RSV bound to: 1994880 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV2 bound to: 16'b0010000001010000 2default:defaulthp x  Q %s *synth29 % Parameter PMA_RSV3 bound to: 2'b00 2default:defaulthp x  p %s *synth2X D Parameter PMA_RSV4 bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RXBUFRESET_TIME bound to: 5'b00001 2default:defaulthp x  f %s *synth2N : Parameter RXBUF_ADDR_MODE bound to: FAST - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b1000 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_OVFLW bound to: 61 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_UNDFLW bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDRFREQRESET_TIME bound to: 5'b00001 2default:defaulthp x  ] %s *synth2E 1 Parameter RXCDRPHRESET_TIME bound to: 5'b00001 2default:defaulthp x   %s *synth2 m Parameter RXCDR_CFG bound to: 72'b000010110000000000000000001000111111111100010000010000000000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter RXCDR_LOCK_CFG bound to: 6'b010101 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter RXDFELPMRESET_TIME bound to: 7'b0001111 2default:defaulthp x  a %s *synth2I 5 Parameter RXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter RXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter RXGEARBOX_EN bound to: TRUE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXISCANRESET_TIME bound to: 5'b00001 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_HF_CFG bound to: 14'b00000011110000 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_LF_CFG bound to: 14'b00000011110000 2default:defaulthp x  W %s *synth2? + Parameter RXOOB_CFG bound to: 7'b0000110 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXOUT_DIV bound to: 1 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter RXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter RXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  h %s *synth2P < Parameter RXPH_CFG bound to: 24'b000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter RXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter RXPMARESET_TIME bound to: 5'b00011 2default:defaulthp x  [ %s *synth2C / Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RXSLIDE_MODE bound to: OFF - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RX_BIAS_CFG bound to: 12'b000000000100 2default:defaulthp x  Z %s *synth2B . Parameter RX_BUFFER_CFG bound to: 6'b000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_CLK25_DIV bound to: 7 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter RX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter RX_CM_SEL bound to: 2'b11 2default:defaulthp x  T %s *synth2< ( Parameter RX_CM_TRIM bound to: 3'b010 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter RX_DDI_SEL bound to: 6'b000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DEBUG_CFG bound to: 12'b000000000000 2default:defaulthp x  l %s *synth2T @ Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RX_DFE_GAIN_CFG bound to: 24'b000000100000111111101010 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H2_CFG bound to: 12'b000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H3_CFG bound to: 12'b000001000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H4_CFG bound to: 11'b00011110000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H5_CFG bound to: 11'b00011100000 2default:defaulthp x  b %s *synth2J 6 Parameter RX_DFE_KL_CFG bound to: 13'b0000011111110 2default:defaulthp x  k %s *synth2S ? Parameter RX_DFE_KL_CFG2 bound to: 806439084 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_LPM_CFG bound to: 16'b0000100101010100 2default:defaulthp x  d %s *synth2L 8 Parameter RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_UT_CFG bound to: 17'b10001111000000000 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_VP_CFG bound to: 17'b00011111100000011 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DFE_XYD_CFG bound to: 13'b0000000000000 2default:defaulthp x  k %s *synth2S ? Parameter RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RX_INT_DATAWIDTH bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_OS_CFG bound to: 13'b0000010000000 2default:defaulthp x  f %s *synth2N : Parameter RX_SIG_VALID_DLY bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RX_XCLK_SEL bound to: RXREC - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MAX_COM bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MIN_COM bound to: 36 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter SATA_BURST_SEQ_LEN bound to: 4'b1111 2default:defaulthp x  X %s *synth2@ , Parameter SATA_BURST_VAL bound to: 3'b100 2default:defaulthp x  k %s *synth2S ? Parameter SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter SATA_EIDLE_VAL bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_BURST bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_INIT bound to: 21 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MAX_WAKE bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_BURST bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_INIT bound to: 12 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MIN_WAKE bound to: 4 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter SHOW_REALIGN_COMMA bound to: TRUE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  o %s *synth2W C Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: X - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TERM_RCAL_CFG bound to: 5'b10000 2default:defaulthp x  V %s *synth2> * Parameter TERM_RCAL_OVRD bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TRANS_TIME_RATE bound to: 8'b00001110 2default:defaulthp x  o %s *synth2W C Parameter TST_RSV bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter TXBUF_EN bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter TXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  c %s *synth2K 7 Parameter TXGEARBOX_EN bound to: TRUE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXOUT_DIV bound to: 1 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter TXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter TXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  ` %s *synth2H 4 Parameter TXPH_CFG bound to: 16'b0000011110000000 2default:defaulthp x  \ %s *synth2D 0 Parameter TXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter TXPMARESET_TIME bound to: 5'b00001 2default:defaulthp x  a %s *synth2I 5 Parameter TX_CLK25_DIV bound to: 7 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter TX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 32 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH0 bound to: 5'b00000 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH1 bound to: 5'b00000 2default:defaulthp x  f %s *synth2N : Parameter TX_DRIVE_MODE bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b110 2default:defaulthp x  a %s *synth2I 5 Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b100 2default:defaulthp x  e %s *synth2M 9 Parameter TX_INT_DATAWIDTH bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TX_MAINCURSOR_SEL bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_0 bound to: 7'b1001110 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_1 bound to: 7'b1001001 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_2 bound to: 7'b1000101 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_3 bound to: 7'b1000010 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_4 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_0 bound to: 7'b1000110 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_1 bound to: 7'b1000100 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_2 bound to: 7'b1000010 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_3 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000 2default:defaulthp x  Y %s *synth2A - Parameter TX_PREDRIVER_MODE bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter TX_QPI_STATUS_EN bound to: 1'b0 2default:defaulthp x  g %s *synth2O ; Parameter TX_RXDETECT_CFG bound to: 16'b0001100000110010 2default:defaulthp x  Y %s *synth2A - Parameter TX_RXDETECT_REF bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter TX_XCLK_SEL bound to: TXOUT - type: string 2default:defaulthp x  S %s *synth2; ' Parameter UCODEER_CLR bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 SFP3_v2_7_GT2default:default2 1692default:default2 12default:default2L 6D:/amc13-firmware/src/common_10G/SFP3/sfp3_v2_7_gt.vhd2default:default2 1752default:default8@Z8-256hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter PMA_RSV_IN bound to: 1994880 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2x d Parameter BIAS_CFG bound to: 64'b0000000000000000000001000000000000000000000000000001000000000000 2default:defaulthp x  r %s *synth2Z F Parameter COMMON_CFG bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  b %s *synth2J 6 Parameter IS_QPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  l %s *synth2T @ Parameter QPLL_CFG bound to: 28'b0000011010000000000110000001 2default:defaulthp x  Z %s *synth2B . Parameter QPLL_CLKOUT_CFG bound to: 4'b0000 2default:defaulthp x  b %s *synth2J 6 Parameter QPLL_COARSE_FREQ_OVRD bound to: 6'b010000 2default:defaulthp x  ` %s *synth2H 4 Parameter QPLL_COARSE_FREQ_OVRD_EN bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter QPLL_CP bound to: 10'b0000011111 2default:defaulthp x  Z %s *synth2B . Parameter QPLL_CP_MONITOR_EN bound to: 1'b0 2default:defaulthp x  Y %s *synth2A - Parameter QPLL_DMONITOR_SEL bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter QPLL_FBDIV bound to: 10'b0101000000 2default:defaulthp x  ] %s *synth2E 1 Parameter QPLL_FBDIV_MONITOR_EN bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter QPLL_FBDIV_RATIO bound to: 1'b0 2default:defaulthp x  m %s *synth2U A Parameter QPLL_INIT_CFG bound to: 24'b000000000000000000000110 2default:defaulthp x  e %s *synth2M 9 Parameter QPLL_LOCK_CFG bound to: 16'b0010000111101000 2default:defaulthp x  S %s *synth2; ' Parameter QPLL_LPF bound to: 4'b1111 2default:defaulthp x  d %s *synth2L 8 Parameter QPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_QPLLREFCLK_SEL bound to: 3'b001 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 SFP3_v2_72default:default2 1702default:default2 12default:default2G 1D:/amc13-firmware/src/common_10G/my_sfp3_v2_7.vhd2default:default2 3602default:default8@Z8-256hpx ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2, SFP3_v2_7_TX_STARTUP_FSM2default:default2q [D:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_tx_startup_fsm.vhd2default:default2 1192default:default8@Z8-638hpx ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x   synthesizing module '%s'638*oasys2( sfp3_v2_7_sync_block2default:default2m WD:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_sync_block.vhd2default:default2 942default:default8@Z8-638hpx S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2( sfp3_v2_7_sync_block2default:default2 1712default:default2 12default:default2m WD:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_sync_block.vhd2default:default2 942default:default8@Z8-256hpx S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2, SFP3_v2_7_TX_STARTUP_FSM2default:default2 1722default:default2 12default:default2q [D:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_tx_startup_fsm.vhd2default:default2 1192default:default8@Z8-256hpx ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2, SFP3_v2_7_RX_STARTUP_FSM2default:default2q [D:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_rx_startup_fsm.vhd2default:default2 1292default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter INITIALISE bound to: 2'b00 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2, SFP3_v2_7_RX_STARTUP_FSM2default:default2 1732default:default2 12default:default2q [D:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_rx_startup_fsm.vhd2default:default2 1292default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter GT_TYPE bound to: GTX - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 20 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 1 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" SFP3_v2_7_init2default:default2 1742default:default2 12default:default2g QD:/amc13-firmware/src/common_10G/SFP3/SFP3_v2_7/example_design/sfp3_v2_7_init.vhd2default:default2 3552default:default8@Z8-256hpx [ %s *synth2C / Parameter CLKCM_CFG bound to: 1 - type: bool 2default:defaulthp x  ] %s *synth2E 1 Parameter CLKRCV_TRST bound to: 1 - type: bool 2default:defaulthp x  U %s *synth2= ) Parameter CLKSWING_CFG bound to: 2'b11 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b1111111111111111 2default:defaulthp x  e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter CLKFBOUT_MULT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 6.400000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT0_DIVIDE bound to: 4 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 TCPIP_if2default:default2 1752default:default2 12default:default2A +D:/amc13-firmware/src/TCPIP_if/TCPIP_if.vhd2default:default2 1172default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 sysmon_if2default:default2G 1D:/amc13-firmware/src/common/sysmon/sysmon_if.vhd2default:default2 462default:default8@Z8-638hpx  %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2t ` Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  ` %s *synth2H 4 Parameter IS_OPMODE_INVERTED bound to: 7'b0000000 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  [ %s *synth2C / Parameter USE_DPORT bound to: 0 - type: bool 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_40 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_41 bound to: 16'b0010111011110000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_42 bound to: 16'b0000010000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_43 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_44 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_45 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_46 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_47 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_48 bound to: 16'b0100011100000001 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_49 bound to: 16'b0001111100111101 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4A bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4B bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4C bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4D bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4E bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_4F bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_50 bound to: 16'b1011010111101101 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_51 bound to: 16'b0101100110011001 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_52 bound to: 16'b1010000101000111 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_53 bound to: 16'b1101110111011101 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_54 bound to: 16'b0111011001111010 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_55 bound to: 16'b0101000100010001 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_56 bound to: 16'b1001000111101011 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_57 bound to: 16'b1010111001001110 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_58 bound to: 16'b0101100110011001 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_59 bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5A bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5B bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5C bound to: 16'b0101000100010001 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5D bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5E bound to: 16'b0000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter INIT_5F bound to: 16'b0000000000000000 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_CONVSTCLK_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_DCLK_INVERTED bound to: 1'b0 2default:defaulthp x  d %s *synth2L 8 Parameter SIM_DEVICE bound to: 7SERIES - type: string 2default:defaulthp x  m %s *synth2U A Parameter SIM_MONITOR_FILE bound to: design.txt - type: string 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 sysmon_if2default:default2 1762default:default2 12default:default2G 1D:/amc13-firmware/src/common/sysmon/sysmon_if.vhd2default:default2 462default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 TTC_cntr2default:default2? )D:/amc13-firmware/src/common/TTC_cntr.vhd2default:default2 532default:default8@Z8-638hpx  %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x   %s *synth2  Parameter INIT bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  X %s *synth2@ , Parameter IS_WCLK_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 TTC_cntr2default:default2 1772default:default2 12default:default2? )D:/amc13-firmware/src/common/TTC_cntr.vhd2default:default2 532default:default8@Z8-256hpx  %s *synth2y e Parameter SIM_DNA_VALUE bound to: 60'b000000000001001000110100010101100111100010011010101111001101 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 AMC13_T12default:default2 1782default:default2 12default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 1262default:default8@Z8-256hpx  %s *synth2 yFinished RTL Elaboration : Time (s): cpu = 00:00:38 ; elapsed = 00:00:40 . Memory (MB): peak = 1756.871 ; gain = 728.906 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1771.637 ; gain = 743.672 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1771.637 ; gain = 743.672 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:032default:default2 00:00:032default:default2 1771.6372default:default2 0.0002default:defaultZ17-268hp x   The value of SIM_DEVICE on instance '%s' of type '%s' is '%s'; it is being changed to match the current FPGA architecture, '%s'. For functional simulation to match hardware behavior, the value of SIM_DEVICE should be changed in the source netlist. %s369*netlist2* i_ttc_if/i_TTS_clk_buf2default:default2 BUFGCTRL2default:default2 ULTRASCALE2default:default2 7SERIES2default:default2  2default:defaultZ29-345hpx h -Analyzing %s Unisim elements for replacement 17*netlist2 27692default:defaultZ29-17hpx j 2Unisim Transformation completed in %s CPU seconds 28*netlist2 12default:defaultZ29-28hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx >  Processing XDC Constraints 244*projectZ1-262hpx = Initializing timing engine 348*projectZ1-569hpx  Parsing XDC File [%s] 179* designutils2D .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default8Z20-179hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2D .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default2 5222default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2D .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default2 5272default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2D .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default2 5312default:default8@Z20-1567hpx  Finished Parsing XDC File [%s] 178* designutils2D .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default8Z20-178hpx  Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2B .D:/amc13-firmware/src/common/DDR/ddr3_1_9a.xdc2default:default2. .Xil/AMC13_T1_propImpl.xdc2default:defaultZ1-236hpx  Parsing XDC File [%s] 179* designutils2? )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default8Z20-179hpx  Deriving generated clocks 2*timing2? )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2 382default:default8@Z38-2hpx  Finished Parsing XDC File [%s] 178* designutils2? )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default8Z20-178hpx  Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2= )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2. .Xil/AMC13_T1_propImpl.xdc2default:defaultZ1-236hpx H &Completed Processing XDC Constraints 245*projectZ1-263hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0572default:default2 2164.2582default:default2 0.0002default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2  A total of 2266 instances were transformed. FD => FDRE: 1170 instances IBUFGDS => IBUFDS: 3 instances IOBUFDS_DCIEN => IOBUFDS_DCIEN (IBUFDS_IBUFDISABLE_INT(x2), INV, OBUFTDS_DCIEN(x2)): 4 instances IOBUF_DCIEN => IOBUF_DCIEN (IBUF_IBUFDISABLE, OBUFT_DCIEN): 32 instances PLLE2_BASE => PLLE2_ADV: 4 instances RAM128X1D => RAM128X1D (MUXF7(x2), RAMD64E(x4)): 32 instances RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 265 instances RAM32X1D => RAM32X1D (RAMD32(x2)): 239 instances RAM64M => RAM64M (RAMD64E(x4)): 1 instance RAM64X1D => RAM64X1D (RAMD64E(x2)): 441 instances RAM64X1D_1 => RAM64X1D (inverted pins: WCLK) (RAMD64E(x2)): 14 instances ROM256X1 => ROM256X1 (LUT6(x4), MUXF7(x2), MUXF8): 1 instance ROM32X1 => LUT5: 57 instances SRL16 => SRL16E: 3 instances 2default:defaultZ1-111hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common24 Constraint Validation Runtime : 2default:default2 00:00:012default:default2 00:00:012default:default2 2164.2582default:default2 0.0002default:defaultZ17-268hp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Constraint Validation : Time (s): cpu = 00:01:35 ; elapsed = 00:01:32 . Memory (MB): peak = 2164.258 ; gain = 1136.293 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  V %s *synth2> *Start Loading Part and Timing Information 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Loading part: xc7k325tffg900-2 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Loading Part and Timing Information : Time (s): cpu = 00:01:35 ; elapsed = 00:01:32 . Memory (MB): peak = 2164.258 ; gain = 1136.293 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Z %s *synth2B .Start Applying 'set_property' XDC Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:01:35 ; elapsed = 00:01:33 . Memory (MB): peak = 2164.258 ; gain = 1136.293 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   3inferred FSM for state register '%s' in module '%s'802*oasys2 SM_reg2default:default2 I2C2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2" wl_state_r_reg2default:default22 mig_7series_v1_9_ddr_phy_wrlvl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ ocal_state_r_reg2default:default2: &mig_7series_v1_9_ddr_phy_oclkdelay_cal2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2( fine_adj_state_r_reg2default:default2: &mig_7series_v1_9_ddr_phy_dqs_found_cal2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ prbs_state_r_reg2default:default27 #mig_7series_v1_9_ddr_phy_prbs_rdlvl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% tempmon_state_reg2default:default24 mig_7series_v1_9_ddr_phy_tempmon2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 state_reg2default:default2! transactor_if2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 state_reg2default:default2! transactor_sm2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 tx_state_reg2default:default2) S6Link_TX_STARTUP_FSM2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 rx_state_reg2default:default2) S6Link_RX_STARTUP_FSM2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2! state_reg_reg2default:default2" drp_wr_fsm_lpm2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 TxState_reg2default:default2 AMC_Link2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 tx_state_reg2default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 rx_state_reg2default:default2. amc_gtx5Gpd_RX_STARTUP_FSM2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2" link_state_reg2default:default2 link_status2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 in_state_reg2default:default2 EMAC_Rx_if2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2! CTRLstate_reg2default:default2 TCPIP2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2! DATAstate_reg2default:default2 TCPIP2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 T_state_reg2default:default2 XGbEPCS322default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 R_state_reg2default:default2 XGbEPCS322default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 tx_state_reg2default:default2, SFP3_v2_7_TX_STARTUP_FSM2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 rx_state_reg2default:default2, SFP3_v2_7_RX_STARTUP_FSM2default:defaultZ8-802hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ debouce | 110 | 001 2default:defaulthp x   %s *synth2s _ s | 011 | 010 2default:defaulthp x   %s *synth2s _ d | 101 | 011 2default:defaulthp x   %s *synth2s _ a | 100 | 100 2default:defaulthp x   %s *synth2s _ w | 010 | 101 2default:defaulthp x   %s *synth2s _ p | 001 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 SM_reg2default:default2 sequential2default:default2 I2C2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ WL_IDLE | 01110 | 00000 2default:defaulthp x   %s *synth2s _ WL_INIT | 10010 | 00001 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_INC | 01100 | 00010 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_INC_WAIT1 | 01000 | 00011 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_INC_WAIT | 01001 | 00100 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_DEC | 11001 | 00101 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_DEC_WAIT1 | 10111 | 11001 2default:defaulthp x   %s *synth2s _ WL_INIT_FINE_DEC_WAIT | 11000 | 00110 2default:defaulthp x   %s *synth2s _ WL_WAIT | 00110 | 01000 2default:defaulthp x   %s *synth2s _ WL_EDGE_CHECK | 11011 | 01001 2default:defaulthp x   %s *synth2s _ WL_DQS_CNT | 10100 | 01011 2default:defaulthp x   %s *synth2s _ WL_FINE_DEC | 00000 | 01110 2default:defaulthp x   %s *synth2s _ WL_FINE_DEC_WAIT1 | 00001 | 11010 2default:defaulthp x   %s *synth2s _ WL_FINE_DEC_WAIT | 10001 | 01111 2default:defaulthp x   %s *synth2s _ WL_CORSE_DEC | 10110 | 10100 2default:defaulthp x   %s *synth2s _ WL_CORSE_DEC_WAIT | 11010 | 10101 2default:defaulthp x   %s *synth2s _ WL_CORSE_DEC_WAIT1 | 10101 | 10110 2default:defaulthp x   %s *synth2s _ WL_2RANK_DQS_CNT | 00010 | 01101 2default:defaulthp x   %s *synth2s _ WL_DQS_CHECK | 00011 | 01010 2default:defaulthp x   %s *synth2s _ WL_FINE_INC | 01101 | 00111 2default:defaulthp x   %s *synth2s _ WL_FINE_INC_WAIT | 10011 | 10111 2default:defaulthp x   %s *synth2s _ WL_2RANK_FINAL_TAP | 01111 | 11000 2default:defaulthp x   %s *synth2s _ WL_CORSE_INC | 01011 | 10000 2default:defaulthp x   %s *synth2s _ WL_CORSE_INC_WAIT_TMP | 10000 | 11011 2default:defaulthp x   %s *synth2s _ WL_CORSE_INC_WAIT | 01010 | 10001 2default:defaulthp x   %s *synth2s _ WL_CORSE_INC_WAIT1 | 00111 | 10010 2default:defaulthp x   %s *synth2s _ WL_CORSE_INC_WAIT2 | 00101 | 10011 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2" wl_state_r_reg2default:default2 sequential2default:default22 mig_7series_v1_9_ddr_phy_wrlvl2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ OCAL_IDLE | 000010000000000000000 | 00000 2default:defaulthp x   %s *synth2s _ OCAL_NEW_DQS_WAIT | 000000000000001000000 | 00001 2default:defaulthp x   %s *synth2s _ OCAL_STG3_CALC | 000000000000000001000 | 00111 2default:defaulthp x   %s *synth2s _ OCAL_STG3_SEL | 000001000000000000000 | 00010 2default:defaulthp x   %s *synth2s _ OCAL_STG3_SEL_WAIT | 000000001000000000000 | 00011 2default:defaulthp x   %s *synth2s _ OCAL_STG3_EN_WAIT | 000000010000000000000 | 00100 2default:defaulthp x   %s *synth2s _ OCAL_STG3_INC | 010000000000000000000 | 01000 2default:defaulthp x   %s *synth2s _ OCAL_INC_DONE_WAIT | 000000100000000000000 | 10001 2default:defaulthp x   %s *synth2s _ OCAL_STG3_INC_WAIT | 001000000000000000000 | 01001 2default:defaulthp x   %s *synth2s _ OCAL_STG3_DEC | 000000000100000000000 | 00101 2default:defaulthp x   %s *synth2s _ OCAL_STG3_DEC_WAIT | 000000000010000000000 | 10010 2default:defaulthp x   %s *synth2s _ OCAL_DEC_DONE_WAIT | 000000000000100000000 | 10011 2default:defaulthp x   %s *synth2s _ OCAL_STG3_WAIT | 000100000000000000000 | 00110 2default:defaulthp x   %s *synth2s _ OCAL_STG2_SEL | 000000000001000000000 | 01010 2default:defaulthp x   %s *synth2s _ OCAL_STG2_WAIT | 000000000000000100000 | 01011 2default:defaulthp x   %s *synth2s _ OCAL_STG2_DEC | 000000000000000000010 | 01101 2default:defaulthp x   %s *synth2s _ OCAL_STG2_DEC_WAIT | 000000000000000000001 | 01110 2default:defaulthp x   %s *synth2s _ OCAL_NEXT_DQS | 000000000000000000100 | 01111 2default:defaulthp x   %s *synth2s _ OCAL_DONE | 100000000000000000000 | 10100 2default:defaulthp x   %s *synth2s _ OCAL_NEW_DQS_READ | 000000000000000010000 | 10000 2default:defaulthp x   %s *synth2s _ OCAL_STG2_INC | 000000000000010000000 | 01100 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ ocal_state_r_reg2default:default2 one-hot2default:default2: &mig_7series_v1_9_ddr_phy_oclkdelay_cal2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ FINE_ADJ_IDLE | 0000 | 0000 2default:defaulthp x   %s *synth2s _ RST_WAIT | 0001 | 0011 2default:defaulthp x   %s *synth2s _ FINE_ADJ_DONE | 0010 | 1111 2default:defaulthp x   %s *synth2s _ RST_POSTWAIT | 0011 | 0001 2default:defaulthp x   %s *synth2s _ RST_POSTWAIT1 | 0100 | 0010 2default:defaulthp x   %s *synth2s _ FINE_ADJ_INIT | 0101 | 0100 2default:defaulthp x   %s *synth2s _ FINE_INC | 0110 | 0101 2default:defaulthp x   %s *synth2s _ FINE_INC_WAIT | 0111 | 0110 2default:defaulthp x   %s *synth2s _ FINE_INC_PREWAIT | 1000 | 0111 2default:defaulthp x   %s *synth2s _ DETECT_PREWAIT | 1001 | 1000 2default:defaulthp x   %s *synth2s _ DETECT_DQSFOUND | 1010 | 1001 2default:defaulthp x   %s *synth2s _ FINE_DEC | 1011 | 1011 2default:defaulthp x   %s *synth2s _ FINE_DEC_WAIT | 1100 | 1100 2default:defaulthp x   %s *synth2s _ FINE_DEC_PREWAIT | 1101 | 1101 2default:defaulthp x   %s *synth2s _ FINAL_WAIT | 1110 | 1110 2default:defaulthp x   %s *synth2s _ PRECH_WAIT | 1111 | 1010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2( fine_adj_state_r_reg2default:default2 sequential2default:default2: &mig_7series_v1_9_ddr_phy_dqs_found_cal2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ PRBS_IDLE | 000000001000 | 000000 2default:defaulthp x   %s *synth2s _ PRBS_NEW_DQS_WAIT | 000000000010 | 000001 2default:defaulthp x   %s *synth2s _ PRBS_PAT_COMPARE | 000000000100 | 000010 2default:defaulthp x   %s *synth2s _ PRBS_CALC_TAPS | 000000010000 | 000111 2default:defaulthp x   %s *synth2s _ PRBS_TAP_CHECK | 000000100000 | 001000 2default:defaulthp x   %s *synth2s _ PRBS_INC_DQS | 001000000000 | 000101 2default:defaulthp x   %s *synth2s _ PRBS_INC_DQS_WAIT | 000100000000 | 000110 2default:defaulthp x   %s *synth2s _ PRBS_DEC_DQS | 010000000000 | 000011 2default:defaulthp x   %s *synth2s _ PRBS_NEXT_DQS | 000001000000 | 001001 2default:defaulthp x   %s *synth2s _ PRBS_DONE | 000010000000 | 001011 2default:defaulthp x   %s *synth2s _ PRBS_NEW_DQS_PREWAIT | 000000000001 | 001010 2default:defaulthp x   %s *synth2s _ PRBS_DEC_DQS_WAIT | 100000000000 | 000100 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ prbs_state_r_reg2default:default2 one-hot2default:default27 #mig_7series_v1_9_ddr_phy_prbs_rdlvl2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ INIT | 00 | 000 2default:defaulthp x   %s *synth2s _ IDLE | 01 | 001 2default:defaulthp x   %s *synth2s _ UPDATE | 10 | 010 2default:defaulthp x   %s *synth2s _ WAIT | 11 | 011 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% tempmon_state_reg2default:default2 sequential2default:default24 mig_7series_v1_9_ddr_phy_tempmon2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ st_idle | 0000010 | 000 2default:defaulthp x   %s *synth2s _ st_first | 1000000 | 001 2default:defaulthp x   %s *synth2s _ st_hdr | 0100000 | 010 2default:defaulthp x   %s *synth2s _ st_prebody | 0010000 | 011 2default:defaulthp x   %s *synth2s _ st_body | 0001000 | 100 2default:defaulthp x   %s *synth2s _ st_done | 0000100 | 101 2default:defaulthp x   %s *synth2s _ st_gap | 0000001 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 state_reg2default:default2 one-hot2default:default2! transactor_if2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ st_idle | 100000 | 000 2default:defaulthp x   %s *synth2s _ st_hdr | 001000 | 001 2default:defaulthp x   %s *synth2s _ st_addr | 010000 | 010 2default:defaulthp x   %s *synth2s _ st_bus_cycle | 000010 | 011 2default:defaulthp x   %s *synth2s _ st_rmw_1 | 000100 | 100 2default:defaulthp x   %s *synth2s _ st_rmw_2 | 000001 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 state_reg2default:default2 one-hot2default:default2! transactor_sm2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000001 | 000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0000010 | 001 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0000100 | 010 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0001000 | 011 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0010000 | 100 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 0100000 | 101 2default:defaulthp x   %s *synth2s _ reset_fsm_done | 1000000 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 tx_state_reg2default:default2 one-hot2default:default2) S6Link_TX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0001 | 0001 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0010 | 0010 2default:defaulthp x   %s *synth2s _ verify_recclk_stable | 0011 | 0011 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0100 | 0100 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0101 | 0101 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 0110 | 0110 2default:defaulthp x   %s *synth2s _ monitor_data_valid | 0111 | 0111 2default:defaulthp x   %s *synth2s _ fsm_done | 1000 | 1000 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 rx_state_reg2default:default2 sequential2default:default2) S6Link_RX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ iSTATE | 00000 | 00000 2default:defaulthp x   %s *synth2s _ resetstate | 00001 | 10000 2default:defaulthp x   %s *synth2s _ load_addr_kl | 00010 | 00001 2default:defaulthp x   %s *synth2s _ rd_drp_kl | 00011 | 00010 2default:defaulthp x   %s *synth2s _ wait_drprdy_kl | 00100 | 00011 2default:defaulthp x   %s *synth2s _ mod_drp_kl | 00101 | 00100 2default:defaulthp x   %s *synth2s _ load_drp_kl | 00110 | 00101 2default:defaulthp x   %s *synth2s _ pulse_wr_kl | 00111 | 00110 2default:defaulthp x   %s *synth2s _ wait_drp_dy_kl | 01000 | 00111 2default:defaulthp x   %s *synth2s _ load_addr_kh | 01001 | 01000 2default:defaulthp x   %s *synth2s _ rd_drp_kh | 01010 | 01001 2default:defaulthp x   %s *synth2s _ wait_drprdy_kh | 01011 | 01010 2default:defaulthp x   %s *synth2s _ mod_drp_kh | 01100 | 01011 2default:defaulthp x   %s *synth2s _ load_drp_kh | 01101 | 01100 2default:defaulthp x   %s *synth2s _ pulse_wr_kh | 01110 | 01101 2default:defaulthp x   %s *synth2s _ wait_drp_dy_kh | 01111 | 01110 2default:defaulthp x   %s *synth2s _ endstate | 10000 | 01111 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2! state_reg_reg2default:default2 sequential2default:default2" drp_wr_fsm_lpm2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 0000 2default:defaulthp x   %s *synth2s _ sendk | 001 | 0001 2default:defaulthp x   %s *synth2s _ sendseq | 010 | 0011 2default:defaulthp x   %s *synth2s _ sendtype | 011 | 0010 2default:defaulthp x   %s *synth2s _ senddata | 100 | 0111 2default:defaulthp x   %s *synth2s _ sendwc | 101 | 0100 2default:defaulthp x   %s *synth2s _ waitcrc | 110 | 0101 2default:defaulthp x   %s *synth2s _ iSTATE | 111 | 0110 2default:defaulthp x  . %s *synth2 * 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 TxState_reg2default:default2 sequential2default:default2 AMC_Link2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0001 | 0001 2default:defaulthp x   %s *synth2s _ wait_for_pll_lock | 0010 | 0010 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0011 | 0011 2default:defaulthp x   %s *synth2s _ wait_for_txoutclk | 0100 | 0100 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0101 | 0101 2default:defaulthp x   %s *synth2s _ wait_for_txusrclk | 0110 | 0110 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0111 | 0111 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 1000 | 1000 2default:defaulthp x   %s *synth2s _ reset_fsm_done | 1001 | 1001 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 tx_state_reg2default:default2 sequential2default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0001 | 0001 2default:defaulthp x   %s *synth2s _ wait_for_pll_lock | 0010 | 0010 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0011 | 0011 2default:defaulthp x   %s *synth2s _ verify_recclk_stable | 0100 | 0100 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0101 | 0101 2default:defaulthp x   %s *synth2s _ wait_for_rxusrclk | 0110 | 0110 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0111 | 0111 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 1000 | 1000 2default:defaulthp x   %s *synth2s _ monitor_data_valid | 1001 | 1001 2default:defaulthp x   %s *synth2s _ fsm_done | 1010 | 1010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 rx_state_reg2default:default2 sequential2default:default2. amc_gtx5Gpd_RX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 00 | 00 2default:defaulthp x   %s *synth2s _ count | 01 | 01 2default:defaulthp x   %s *synth2s _ fault | 10 | 10 2default:defaulthp x   %s *synth2s _ iSTATE | 11 | 11 2default:defaulthp x  . %s *synth2 * 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2" link_state_reg2default:default2 sequential2default:default2 link_status2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 100000 | 000 2default:defaulthp x   %s *synth2s _ et_hdr | 010000 | 001 2default:defaulthp x   %s *synth2s _ arp | 000001 | 010 2default:defaulthp x   %s *synth2s _ ip_hdr | 001000 | 011 2default:defaulthp x   %s *synth2s _ ip_data | 000100 | 100 2default:defaulthp x   %s *synth2s _ iSTATE | 000010 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 in_state_reg2default:default2 one-hot2default:default2 EMAC_Rx_if2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 001 | 00 2default:defaulthp x   %s *synth2s _ readeventdata | 010 | 01 2default:defaulthp x   %s *synth2s _ wait4send | 100 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2! DATAstate_reg2default:default2 one-hot2default:default2 TCPIP2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 0000000100 | 0000 2default:defaulthp x   %s *synth2s _ rcv_iphdr | 0000010000 | 0010 2default:defaulthp x   %s *synth2s _ rcv_tcphdr | 0000000001 | 0011 2default:defaulthp x   %s *synth2s _ rcv_process | 0000000010 | 0100 2default:defaulthp x   %s *synth2s _ snd_arp_icmp | 0000100000 | 0001 2default:defaulthp x   %s *synth2s _ retx | 0010000000 | 1000 2default:defaulthp x   %s *synth2s _ snd | 0000001000 | 0101 2default:defaulthp x   %s *synth2s _ wait4snd2end | 1000000000 | 0110 2default:defaulthp x   %s *synth2s _ release | 0100000000 | 0111 2default:defaulthp x   %s *synth2s _ iSTATE | 0001000000 | 1111 2default:defaulthp x  . %s *synth2 * 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2! CTRLstate_reg2default:default2 one-hot2default:default2 TCPIP2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ rx_init | 000 | 000 2default:defaulthp x   %s *synth2s _ iSTATE | 001 | 100 2default:defaulthp x  . %s *synth2 * 2default:defaulthp x   %s *synth2s _ rx_t | 010 | 011 2default:defaulthp x   %s *synth2s _ rx_c | 011 | 001 2default:defaulthp x   %s *synth2s _ rx_d | 100 | 010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 R_state_reg2default:default2 sequential2default:default2 XGbEPCS322default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ tx_init | 000 | 000 2default:defaulthp x   %s *synth2s _ iSTATE | 001 | 100 2default:defaulthp x  . %s *synth2 * 2default:defaulthp x   %s *synth2s _ tx_t | 010 | 011 2default:defaulthp x   %s *synth2s _ tx_c | 011 | 001 2default:defaulthp x   %s *synth2s _ tx_d | 100 | 010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 T_state_reg2default:default2 sequential2default:default2 XGbEPCS322default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000001 | 000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0000100 | 001 2default:defaulthp x   %s *synth2s _ release_pll_reset | 1000000 | 010 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0100000 | 011 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0010000 | 100 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 0000010 | 101 2default:defaulthp x   %s *synth2s _ reset_fsm_done | 0001000 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 tx_state_reg2default:default2 one-hot2default:default2, SFP3_v2_7_TX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 000000001 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 000000010 | 0001 2default:defaulthp x   %s *synth2s _ release_pll_reset | 000000100 | 0010 2default:defaulthp x   %s *synth2s _ verify_recclk_stable | 000001000 | 0011 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 000010000 | 0100 2default:defaulthp x   %s *synth2s _ wait_reset_done | 000100000 | 0101 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 001000000 | 0110 2default:defaulthp x   %s *synth2s _ monitor_data_valid | 010000000 | 0111 2default:defaulthp x   %s *synth2s _ fsm_done | 100000000 | 1000 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 rx_state_reg2default:default2 one-hot2default:default2, SFP3_v2_7_RX_STARTUP_FSM2default:defaultZ8-3354hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 2 : Time (s): cpu = 00:02:17 ; elapsed = 00:02:16 . Memory (MB): peak = 2164.258 ; gain = 1136.293 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  X %s *synth2@ , 2 Input 33 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 32 Bit Adders := 78 2default:defaulthp x  X %s *synth2@ , 2 Input 32 Bit Adders := 25 2default:defaulthp x  X %s *synth2@ , 2 Input 31 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 28 Bit Adders := 6 2default:defaulthp x  X %s *synth2@ , 2 Input 28 Bit Adders := 9 2default:defaulthp x  X %s *synth2@ , 2 Input 25 Bit Adders := 15 2default:defaulthp x  X %s *synth2@ , 2 Input 24 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 23 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 22 Bit Adders := 2 2default:defaulthp x  X %s *synth2@ , 3 Input 22 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 20 Bit Adders := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 19 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 3 Input 19 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 18 Bit Adders := 2 2default:defaulthp x  X %s *synth2@ , 4 Input 17 Bit Adders := 13 2default:defaulthp x  X %s *synth2@ , 2 Input 17 Bit Adders := 11 2default:defaulthp x  X %s *synth2@ , 3 Input 17 Bit Adders := 6 2default:defaulthp x  X %s *synth2@ , 2 Input 16 Bit Adders := 76 2default:defaulthp x  X %s *synth2@ , 3 Input 16 Bit Adders := 18 2default:defaulthp x  X %s *synth2@ , 4 Input 16 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 15 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 14 Bit Adders := 6 2default:defaulthp x  X %s *synth2@ , 3 Input 13 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 13 Bit Adders := 12 2default:defaulthp x  X %s *synth2@ , 2 Input 12 Bit Adders := 15 2default:defaulthp x  X %s *synth2@ , 3 Input 12 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 11 Bit Adders := 24 2default:defaulthp x  X %s *synth2@ , 2 Input 11 Bit Adders := 49 2default:defaulthp x  X %s *synth2@ , 2 Input 10 Bit Adders := 74 2default:defaulthp x  X %s *synth2@ , 3 Input 10 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 9 Bit Adders := 155 2default:defaulthp x  X %s *synth2@ , 3 Input 9 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 8 Bit Adders := 109 2default:defaulthp x  X %s *synth2@ , 2 Input 7 Bit Adders := 462 2default:defaulthp x  X %s *synth2@ , 3 Input 7 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 6 Bit Adders := 118 2default:defaulthp x  X %s *synth2@ , 3 Input 6 Bit Adders := 15 2default:defaulthp x  X %s *synth2@ , 2 Input 5 Bit Adders := 106 2default:defaulthp x  X %s *synth2@ , 2 Input 4 Bit Adders := 156 2default:defaulthp x  X %s *synth2@ , 3 Input 4 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 3 Bit Adders := 64 2default:defaulthp x  X %s *synth2@ , 3 Input 3 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 2 Bit Adders := 128 2default:defaulthp x  X %s *synth2@ , 3 Input 2 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 4 Input 2 Bit Adders := 5 2default:defaulthp x  X %s *synth2@ , 5 Input 2 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 8 Input 2 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 1 Bit Adders := 4 2default:defaulthp x  X %s *synth2@ , 3 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 5 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 6 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 8 Input 1 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 9 Input 1 Bit Adders := 1 2default:defaulthp x  8 %s *synth2 +---XORs : 2default:defaulthp x  Z %s *synth2B . 2 Input 16 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 4 Input 16 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit XORs := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit XORs := 2207 2default:defaulthp x  Z %s *synth2B . 3 Input 1 Bit XORs := 586 2default:defaulthp x  Z %s *synth2B . 4 Input 1 Bit XORs := 163 2default:defaulthp x  Z %s *synth2B . 6 Input 1 Bit XORs := 115 2default:defaulthp x  Z %s *synth2B . 14 Input 1 Bit XORs := 38 2default:defaulthp x  Z %s *synth2B . 12 Input 1 Bit XORs := 123 2default:defaulthp x  Z %s *synth2B . 13 Input 1 Bit XORs := 61 2default:defaulthp x  Z %s *synth2B . 5 Input 1 Bit XORs := 101 2default:defaulthp x  Z %s *synth2B . 7 Input 1 Bit XORs := 144 2default:defaulthp x  Z %s *synth2B . 10 Input 1 Bit XORs := 114 2default:defaulthp x  Z %s *synth2B . 9 Input 1 Bit XORs := 132 2default:defaulthp x  Z %s *synth2B . 18 Input 1 Bit XORs := 96 2default:defaulthp x  Z %s *synth2B . 28 Input 1 Bit XORs := 24 2default:defaulthp x  Z %s *synth2B . 8 Input 1 Bit XORs := 144 2default:defaulthp x  Z %s *synth2B . 15 Input 1 Bit XORs := 84 2default:defaulthp x  Z %s *synth2B . 11 Input 1 Bit XORs := 108 2default:defaulthp x  Z %s *synth2B . 24 Input 1 Bit XORs := 42 2default:defaulthp x  Z %s *synth2B . 20 Input 1 Bit XORs := 48 2default:defaulthp x  Z %s *synth2B . 16 Input 1 Bit XORs := 66 2default:defaulthp x  Z %s *synth2B . 21 Input 1 Bit XORs := 36 2default:defaulthp x  Z %s *synth2B . 17 Input 1 Bit XORs := 66 2default:defaulthp x  Z %s *synth2B . 19 Input 1 Bit XORs := 60 2default:defaulthp x  Z %s *synth2B . 40 Input 1 Bit XORs := 6 2default:defaulthp x  Z %s *synth2B . 25 Input 1 Bit XORs := 30 2default:defaulthp x  Z %s *synth2B . 31 Input 1 Bit XORs := 24 2default:defaulthp x  Z %s *synth2B . 30 Input 1 Bit XORs := 18 2default:defaulthp x  Z %s *synth2B . 29 Input 1 Bit XORs := 30 2default:defaulthp x  Z %s *synth2B . 22 Input 1 Bit XORs := 36 2default:defaulthp x  Z %s *synth2B . 33 Input 1 Bit XORs := 12 2default:defaulthp x  Z %s *synth2B . 35 Input 1 Bit XORs := 6 2default:defaulthp x  Z %s *synth2B . 34 Input 1 Bit XORs := 6 2default:defaulthp x  Z %s *synth2B . 27 Input 1 Bit XORs := 12 2default:defaulthp x  Z %s *synth2B . 23 Input 1 Bit XORs := 36 2default:defaulthp x  Z %s *synth2B . 26 Input 1 Bit XORs := 18 2default:defaulthp x  Z %s *synth2B . 41 Input 1 Bit XORs := 6 2default:defaulthp x  Z %s *synth2B . 42 Input 1 Bit XORs := 6 2default:defaulthp x  8 %s *synth2 +---XORs : 2default:defaulthp x  Z %s *synth2B . 13 Bit Wide XORs := 1 2default:defaulthp x  Z %s *synth2B . 5 Bit Wide XORs := 1 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 512 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 336 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 288 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 256 Bit Registers := 5 2default:defaulthp x  Z %s *synth2B . 160 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 140 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 128 Bit Registers := 8 2default:defaulthp x  Z %s *synth2B . 112 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 80 Bit Registers := 4 2default:defaulthp x  Z %s *synth2B . 75 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 72 Bit Registers := 10 2default:defaulthp x  Z %s *synth2B . 67 Bit Registers := 6 2default:defaulthp x  Z %s *synth2B . 66 Bit Registers := 12 2default:defaulthp x  Z %s *synth2B . 65 Bit Registers := 12 2default:defaulthp x  Z %s *synth2B . 64 Bit Registers := 45 2default:defaulthp x  Z %s *synth2B . 60 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 58 Bit Registers := 7 2default:defaulthp x  Z %s *synth2B . 57 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 56 Bit Registers := 7 2default:defaulthp x  Z %s *synth2B . 48 Bit Registers := 6 2default:defaulthp x  Z %s *synth2B . 45 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 42 Bit Registers := 4 2default:defaulthp x  Z %s *synth2B . 41 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 38 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 37 Bit Registers := 6 2default:defaulthp x  Z %s *synth2B . 36 Bit Registers := 16 2default:defaulthp x  Z %s *synth2B . 34 Bit Registers := 8 2default:defaulthp x  Z %s *synth2B . 33 Bit Registers := 4 2default:defaulthp x  Z %s *synth2B . 32 Bit Registers := 327 2default:defaulthp x  Z %s *synth2B . 30 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 29 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 28 Bit Registers := 37 2default:defaulthp x  Z %s *synth2B . 27 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 26 Bit Registers := 9 2default:defaulthp x  Z %s *synth2B . 25 Bit Registers := 5 2default:defaulthp x  Z %s *synth2B . 24 Bit Registers := 20 2default:defaulthp x  Z %s *synth2B . 23 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 22 Bit Registers := 5 2default:defaulthp x  Z %s *synth2B . 21 Bit Registers := 13 2default:defaulthp x  Z %s *synth2B . 20 Bit Registers := 43 2default:defaulthp x  Z %s *synth2B . 19 Bit Registers := 15 2default:defaulthp x  Z %s *synth2B . 18 Bit Registers := 48 2default:defaulthp x  Z %s *synth2B . 17 Bit Registers := 42 2default:defaulthp x  Z %s *synth2B . 16 Bit Registers := 361 2default:defaulthp x  Z %s *synth2B . 15 Bit Registers := 7 2default:defaulthp x  Z %s *synth2B . 14 Bit Registers := 12 2default:defaulthp x  Z %s *synth2B . 13 Bit Registers := 68 2default:defaulthp x  Z %s *synth2B . 12 Bit Registers := 75 2default:defaulthp x  Z %s *synth2B . 11 Bit Registers := 73 2default:defaulthp x  Z %s *synth2B . 10 Bit Registers := 78 2default:defaulthp x  Z %s *synth2B . 9 Bit Registers := 198 2default:defaulthp x  Z %s *synth2B . 8 Bit Registers := 355 2default:defaulthp x  Z %s *synth2B . 7 Bit Registers := 515 2default:defaulthp x  Z %s *synth2B . 6 Bit Registers := 301 2default:defaulthp x  Z %s *synth2B . 5 Bit Registers := 166 2default:defaulthp x  Z %s *synth2B . 4 Bit Registers := 483 2default:defaulthp x  Z %s *synth2B . 3 Bit Registers := 322 2default:defaulthp x  Z %s *synth2B . 2 Bit Registers := 351 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 5066 2default:defaulthp x  ? %s *synth2' +---Multipliers : 2default:defaulthp x  X %s *synth2@ , 3x5 Multipliers := 1 2default:defaulthp x  8 %s *synth2 +---RAMs : 2default:defaulthp x  k %s *synth2S ? 256K Bit (8192 X 32 bit) RAMs := 1 2default:defaulthp x  j %s *synth2R > 64K Bit (8192 X 8 bit) RAMs := 4 2default:defaulthp x  j %s *synth2R > 32K Bit (4096 X 8 bit) RAMs := 1 2default:defaulthp x  h %s *synth2P < 720 Bit (9 X 80 bit) RAMs := 8 2default:defaulthp x  h %s *synth2P < 256 Bit (8 X 32 bit) RAMs := 1 2default:defaulthp x  g %s *synth2O ; 48 Bit (8 X 6 bit) RAMs := 2 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  X %s *synth2@ , 2 Input 336 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 256 Bit Muxes := 7 2default:defaulthp x  X %s *synth2@ , 4 Input 256 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 255 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 128 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 4 Input 128 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 112 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 80 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 2 Input 72 Bit Muxes := 37 2default:defaulthp x  X %s *synth2@ , 11 Input 72 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 5 Input 72 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 67 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 66 Bit Muxes := 30 2default:defaulthp x  X %s *synth2@ , 5 Input 66 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 65 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 2 Input 64 Bit Muxes := 22 2default:defaulthp x  X %s *synth2@ , 3 Input 64 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 8 Input 64 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 16 Input 64 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 56 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 48 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 4 Input 48 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 42 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 41 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 41 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 11 Input 39 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 36 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 34 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 2 Input 32 Bit Muxes := 351 2default:defaulthp x  X %s *synth2@ , 4 Input 32 Bit Muxes := 37 2default:defaulthp x  X %s *synth2@ , 3 Input 32 Bit Muxes := 30 2default:defaulthp x  X %s *synth2@ , 5 Input 32 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 10 Input 32 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 13 Input 32 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 6 Input 32 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 30 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 29 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 28 Bit Muxes := 36 2default:defaulthp x  X %s *synth2@ , 2 Input 27 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 26 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 3 Input 26 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 4 Input 26 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 25 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 24 Bit Muxes := 16 2default:defaulthp x  X %s *synth2@ , 4 Input 24 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 22 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 21 Bit Muxes := 8 2default:defaulthp x  X %s *synth2@ , 49 Input 21 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 20 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 3 Input 20 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 20 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 4 Input 19 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 18 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 18 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 17 Bit Muxes := 30 2default:defaulthp x  X %s *synth2@ , 4 Input 17 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 3 Input 17 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 16 Bit Muxes := 144 2default:defaulthp x  X %s *synth2@ , 3 Input 16 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 16 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 4 Input 16 Bit Muxes := 10 2default:defaulthp x  X %s *synth2@ , 8 Input 16 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 13 Input 16 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 14 Input 16 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 11 Input 16 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 5 Input 16 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 18 Input 16 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 17 Input 16 Bit Muxes := 10 2default:defaulthp x  X %s *synth2@ , 2 Input 15 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 14 Bit Muxes := 16 2default:defaulthp x  X %s *synth2@ , 4 Input 14 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 8 Input 14 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 13 Input 14 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 13 Bit Muxes := 40 2default:defaulthp x  X %s *synth2@ , 3 Input 13 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 4 Input 13 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 8 Input 13 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 13 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 3 Input 12 Bit Muxes := 16 2default:defaulthp x  X %s *synth2@ , 4 Input 12 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 12 Bit Muxes := 52 2default:defaulthp x  X %s *synth2@ , 12 Input 12 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 6 Input 12 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 2 Input 11 Bit Muxes := 47 2default:defaulthp x  X %s *synth2@ , 7 Input 11 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 10 Bit Muxes := 60 2default:defaulthp x  X %s *synth2@ , 3 Input 10 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 38 Input 10 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 4 Input 9 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 2 Input 9 Bit Muxes := 106 2default:defaulthp x  X %s *synth2@ , 3 Input 9 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 9 Input 9 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 6 Input 9 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 17 Input 9 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 5 Input 9 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 8 Bit Muxes := 296 2default:defaulthp x  X %s *synth2@ , 5 Input 8 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 3 Input 8 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 4 Input 8 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 13 Input 8 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 17 Input 8 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 6 Input 8 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 21 Input 7 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 12 Input 7 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 3 Input 7 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 7 Bit Muxes := 88 2default:defaulthp x  X %s *synth2@ , 7 Input 7 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 4 Input 7 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 6 Input 7 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 6 Bit Muxes := 348 2default:defaulthp x  X %s *synth2@ , 3 Input 6 Bit Muxes := 8 2default:defaulthp x  X %s *synth2@ , 10 Input 6 Bit Muxes := 7 2default:defaulthp x  X %s *synth2@ , 8 Input 6 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 4 Input 6 Bit Muxes := 23 2default:defaulthp x  X %s *synth2@ , 27 Input 6 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 21 Input 6 Bit Muxes := 10 2default:defaulthp x  X %s *synth2@ , 5 Input 6 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 16 Input 6 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 12 Input 6 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 6 Input 6 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 34 Input 6 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 7 Input 6 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 5 Bit Muxes := 111 2default:defaulthp x  X %s *synth2@ , 8 Input 5 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 27 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 58 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 21 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 5 Bit Muxes := 7 2default:defaulthp x  X %s *synth2@ , 3 Input 5 Bit Muxes := 31 2default:defaulthp x  X %s *synth2@ , 7 Input 5 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 6 Input 5 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 10 Input 5 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 5 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 17 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 16 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 4 Bit Muxes := 332 2default:defaulthp x  X %s *synth2@ , 4 Input 4 Bit Muxes := 11 2default:defaulthp x  X %s *synth2@ , 3 Input 4 Bit Muxes := 11 2default:defaulthp x  X %s *synth2@ , 32 Input 4 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 12 Input 4 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 4 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 10 Input 4 Bit Muxes := 19 2default:defaulthp x  X %s *synth2@ , 11 Input 4 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 6 Input 4 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 9 Input 4 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 8 Input 4 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 5 Input 4 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 15 Input 4 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 3 Bit Muxes := 221 2default:defaulthp x  X %s *synth2@ , 4 Input 3 Bit Muxes := 23 2default:defaulthp x  X %s *synth2@ , 27 Input 3 Bit Muxes := 11 2default:defaulthp x  X %s *synth2@ , 9 Input 3 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 5 Input 3 Bit Muxes := 17 2default:defaulthp x  X %s *synth2@ , 10 Input 3 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 3 Input 3 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 8 Input 3 Bit Muxes := 19 2default:defaulthp x  X %s *synth2@ , 6 Input 3 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 7 Input 3 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 2 Bit Muxes := 331 2default:defaulthp x  X %s *synth2@ , 3 Input 2 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 8 Input 2 Bit Muxes := 12 2default:defaulthp x  X %s *synth2@ , 21 Input 2 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 4 Input 2 Bit Muxes := 31 2default:defaulthp x  X %s *synth2@ , 5 Input 2 Bit Muxes := 13 2default:defaulthp x  X %s *synth2@ , 9 Input 2 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 6 Input 2 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 10 Input 2 Bit Muxes := 15 2default:defaulthp x  X %s *synth2@ , 16 Input 2 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 17 Input 2 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 1 Bit Muxes := 2851 2default:defaulthp x  X %s *synth2@ , 8 Input 1 Bit Muxes := 70 2default:defaulthp x  X %s *synth2@ , 3 Input 1 Bit Muxes := 67 2default:defaulthp x  X %s *synth2@ , 15 Input 1 Bit Muxes := 14 2default:defaulthp x  X %s *synth2@ , 4 Input 1 Bit Muxes := 241 2default:defaulthp x  X %s *synth2@ , 27 Input 1 Bit Muxes := 35 2default:defaulthp x  X %s *synth2@ , 21 Input 1 Bit Muxes := 41 2default:defaulthp x  X %s *synth2@ , 5 Input 1 Bit Muxes := 16 2default:defaulthp x  X %s *synth2@ , 16 Input 1 Bit Muxes := 30 2default:defaulthp x  X %s *synth2@ , 12 Input 1 Bit Muxes := 24 2default:defaulthp x  X %s *synth2@ , 7 Input 1 Bit Muxes := 61 2default:defaulthp x  X %s *synth2@ , 10 Input 1 Bit Muxes := 335 2default:defaulthp x  X %s *synth2@ , 34 Input 1 Bit Muxes := 26 2default:defaulthp x  X %s *synth2@ , 11 Input 1 Bit Muxes := 230 2default:defaulthp x  X %s *synth2@ , 6 Input 1 Bit Muxes := 55 2default:defaulthp x  X %s *synth2@ , 9 Input 1 Bit Muxes := 61 2default:defaulthp x  X %s *synth2@ , 13 Input 1 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 17 Input 1 Bit Muxes := 17 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Finished RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2m YPart Resources: DSPs: 840 (col length:140) BRAMs: 890 (col length: RAMB18 140 RAMB36 70) 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  W %s *synth2? +Start Cross Boundary and Area Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   merging register '%s' into '%s'3619*oasys23 single_rank.chip_cnt_r_reg[1:0]2default:default23 single_rank.chip_cnt_r_reg[1:0]2default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 23072default:default8@Z8-4471hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2- oclkdelay_start_dly_r_reg2default:default2 152default:default2 142default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 9972default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2) wrcal_start_dly_r_reg2default:default2 152default:default2 142default:default2e OD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_phy_init.v2default:default2 9952default:default8@Z8-3936hpx  merging register '%s' into '%s'3619*oasys2; 'gen_byte_sel_div1.byte_sel_cnt_reg[2:0]2default:default2; 'gen_byte_sel_div1.byte_sel_cnt_reg[2:0]2default:default2f PD:/amc13-firmware/src/common/DDR/ddr3_1_9_a/phy/mig_7series_v1_9_ddr_calib_top.v2default:default2 7822default:default8@Z8-4471hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2& dbg_phy_rdlvl[255]2default:default22 mig_7series_v1_9_ddr_phy_rdlvl2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2& dbg_phy_rdlvl[254]2default:default22 mig_7series_v1_9_ddr_phy_rdlvl2default:defaultZ8-7129hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2h Tu_ddr_calib_top/\oclk_calib.u_ddr_phy_oclkdelay_cal /\ocal_final_cnt_r_mux_d_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 12default:default2H 4u_ddr_calib_top/u_ddr_phy_rdlvl/cal1_dlyinc_dq_r_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2\ Hu_ddr_calib_top/\mb_wrlvl_inst.u_ddr_phy_wrlvl /\add_smallest_reg[0][5] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 12default:default2\ Hu_ddr_calib_top/u_ddr_phy_init/\gen_single_slot_odt.tmp_mr1_r_reg[0][0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2\ Hu_ddr_calib_top/u_ddr_phy_init/\gen_single_slot_odt.tmp_mr2_r_reg[0][1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2[ Gu_ddr_calib_top/\oclk_calib.u_ddr_phy_oclkdelay_cal /po_stg3_incdec_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2d Pu_ddr_calib_top/\mb_wrlvl_inst.u_ddr_phy_ck_addr_cmd_delay /po_stg2_f_incdec_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2p \u_ddr_calib_top/\dqsfind_calib_right.u_ddr_phy_dqs_found_cal /\pi_dqs_found_any_bank_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2E 1u_ddr_calib_top/u_ddr_phy_init/extend_cal_pat_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2] Iu_ddr_calib_top/u_ddr_phy_init/\gen_single_slot_odt.phy_tmp_odt_r_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2O ;u_ddr_calib_top/u_ddr_phy_init/\gen_rnk[1].mr2_r_reg[1][1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2E 1u_ddr_calib_top/u_ddr_phy_wrcal/wrcal_act_req_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2T @u_ddr_calib_top/\mb_wrlvl_inst.u_ddr_phy_wrlvl /dual_rnk_dec_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2L 8\gen_ddr3_noparity.gen_ddr3_noparity_4by1.parity_reg[3] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 12default:default2M 9u_ddr_calib_top/u_ddr_phy_init/\odd_cwl.phy_cas_n_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 12default:default2J 6u_ddr_calib_top/u_ddr_phy_init/\calib_cas_slot_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2J 6u_ddr_calib_top/u_ddr_phy_init/\calib_cas_slot_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 12default:default2< (u_ddr_calib_top/\calib_zero_ctrl_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2r ^u_ddr_calib_top/\dqsfind_calib_right.u_ddr_phy_dqs_found_cal /\pi_dqs_found_any_bank_r_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2O ;u_ddr_calib_top/u_ddr_phy_init/\gen_rnk[0].mr2_r_reg[0][1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2D 0u_ddr_calib_top/u_ddr_phy_init/temp_lmr_done_reg2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2E 1u_ddr_calib_top/u_ddr_phy_init/\calib_odt_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2p \u_ddr_calib_top/\ddr_phy_prbs_rdlvl_gen.u_ddr_phy_prbs_rdlvl /\prbs_dqs_cnt_timing_r_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2I 5u_ddr_calib_top/u_ddr_phy_rdlvl/\rd_mux_sel_r_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt0_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt0_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt1_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt1_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt2_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt2_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt3_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt3_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt4_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt4_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt5_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt5_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt6_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt6_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt7_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt7_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt8_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt8_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt9_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2i U\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt9_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2j V\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt10_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2j V\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt10_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2j V\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt11_rxresetfsm_i/\recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2j V\i_AMC_wrapper/i_AMC_GTX5Gpd_init /gt11_rxresetfsm_i/\recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__62default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__72default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__82default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_TX_STARTUP_FSM__92default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__102default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default22 amc_gtx5Gpd_TX_STARTUP_FSM__112default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default2. amc_gtx5Gpd_TX_STARTUP_FSM2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__12default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__22default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__32default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg52default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg62default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__42default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg12default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg22default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg32default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__52default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys20 sync_CPLLLOCK/data_sync_reg42default:default21 amc_gtx5Gpd_RX_STARTUP_FSM__52default:defaultZ8-3332hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33322default:default2 1002default:defaultZ17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33322default:default2 1002default:defaultZ17-14hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2% \summary_reg[63] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][65]2default:default2 FD2default:default2) AMC_header_reg[1][65]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[1][64]2default:default2 FDE2default:default2) AMC_header_reg[2][64]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[1][65]2default:default2 FD2default:default2) AMC_header_reg[2][65]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[2][64]2default:default2 FDE2default:default2) AMC_header_reg[0][64]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2# summary_reg[52]2default:default2 FDR2default:default2# summary_reg[53]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2# summary_reg[53]2default:default2 FDR2default:default2# summary_reg[54]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2# summary_reg[54]2default:default2 FDR2default:default2# summary_reg[55]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2% \summary_reg[55] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][0]2default:default2 FD2default:default2( AMC_header_reg[1][0]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][1]2default:default2 FD2default:default2( AMC_header_reg[1][1]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][2]2default:default2 FD2default:default2( AMC_header_reg[1][2]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][3]2default:default2 FD2default:default2( AMC_header_reg[1][3]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][4]2default:default2 FD2default:default2( AMC_header_reg[1][4]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][5]2default:default2 FD2default:default2( AMC_header_reg[1][5]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][6]2default:default2 FD2default:default2( AMC_header_reg[1][6]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( AMC_header_reg[0][7]2default:default2 FD2default:default2( AMC_header_reg[1][7]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][26]2default:default2 FD2default:default2) AMC_header_reg[1][26]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][20]2default:default2 FD2default:default2) AMC_header_reg[1][20]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][21]2default:default2 FD2default:default2) AMC_header_reg[1][21]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][22]2default:default2 FD2default:default2) AMC_header_reg[1][22]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][23]2default:default2 FD2default:default2) AMC_header_reg[1][23]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][24]2default:default2 FD2default:default2) AMC_header_reg[1][24]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][25]2default:default2 FD2default:default2) AMC_header_reg[1][25]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][35]2default:default2 FD2default:default2) AMC_header_reg[1][35]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][27]2default:default2 FD2default:default2) AMC_header_reg[1][27]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][28]2default:default2 FD2default:default2) AMC_header_reg[1][28]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][29]2default:default2 FD2default:default2) AMC_header_reg[1][29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][30]2default:default2 FD2default:default2) AMC_header_reg[1][30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][31]2default:default2 FD2default:default2) AMC_header_reg[1][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][32]2default:default2 FD2default:default2) AMC_header_reg[1][32]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][33]2default:default2 FD2default:default2) AMC_header_reg[1][33]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][34]2default:default2 FD2default:default2) AMC_header_reg[1][34]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][44]2default:default2 FD2default:default2) AMC_header_reg[1][44]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][36]2default:default2 FD2default:default2) AMC_header_reg[1][36]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][37]2default:default2 FD2default:default2) AMC_header_reg[1][37]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][38]2default:default2 FD2default:default2) AMC_header_reg[1][38]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][39]2default:default2 FD2default:default2) AMC_header_reg[1][39]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][40]2default:default2 FD2default:default2) AMC_header_reg[1][40]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][41]2default:default2 FD2default:default2) AMC_header_reg[1][41]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][42]2default:default2 FD2default:default2) AMC_header_reg[1][42]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2) AMC_header_reg[0][43]2default:default2 FD2default:default2) AMC_header_reg[1][43]2default:defaultZ8-3886hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2" i_buf_H/DO_reg2default:default2 182default:default2 162default:default2A +D:/amc13-firmware/src/TCPIP_if/SDP32x18.vhd2default:default2 812default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys29 %g_ReTx_ddr_wq[5].i_ReTx_ddr_wq/do_reg2default:default2 62default:default2 22default:default2A +D:/amc13-firmware/src/TCPIP_if/RAM32x6D.vhd2default:default2 512default:default8@Z8-3936hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[271]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[270]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[269]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[268]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[267]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[266]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[265]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[264]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[263]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[262]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[261]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[260]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[259]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[258]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[257]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[256]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[255]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[254]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[253]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[252]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[251]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[250]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[249]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[248]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[247]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[246]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[245]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[244]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[243]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[242]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[241]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[240]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[239]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[238]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[237]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[236]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[235]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[234]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[233]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[232]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[231]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[230]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[229]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[228]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[227]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[226]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[225]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[224]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[223]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[222]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[221]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[220]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[219]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[218]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[217]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[216]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[215]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[214]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[213]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[212]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[211]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[210]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[209]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[208]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[207]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[206]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[205]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[204]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[203]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[202]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[201]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[200]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[199]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[198]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[197]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[196]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[195]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[194]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[193]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[192]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[191]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[190]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[189]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[188]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[187]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[186]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[185]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[184]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[183]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[182]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[181]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[180]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[179]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[178]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[177]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[176]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[175]2default:default2 TCP_CC2default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 debug[174]2default:default2 TCP_CC2default:defaultZ8-7129hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  "merging instance '%s' (%s) to '%s'3436*oasys2" HDR_LEN_reg[0]2default:default2 FD2default:default2% TCPHDR_end_reg[0]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2* EventBufAddr_ra_reg[4]2default:default2 FDRE2default:default2* EventBufAddr_ra_reg[3]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2* EventBufAddr_ra_reg[3]2default:default2 FDRE2default:default2* EventBufAddr_ra_reg[2]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2, \EventBufAddr_ra_reg[2] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2* EventBufAddr_wa_reg[4]2default:default2 FDCE2default:default2* EventBufAddr_wa_reg[2]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2* EventBufAddr_wa_reg[3]2default:default2 FDCE2default:default2* EventBufAddr_wa_reg[2]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2, \EventBufAddr_wa_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2& \TOTAL_LEN_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2& \TOTAL_LEN_reg[1] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[0]2default:default2 FDE2default:default2$ IPHDR_LEN_reg[1]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[16]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[17]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[18]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[19]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[20]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[21]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[22]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[23]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[24]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[25]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[26]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[27]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[28]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[29]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[30]2default:default2 FD2default:default26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys26 "i_TCPdata_chksum/DATA_SIZE_reg[31]2default:default2 FD2default:default25 !i_TCPdata_chksum/DATA_SIZE_reg[2]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default24 i_TCP_CC/\SSTHRESH_RETX_reg[27] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[1]2default:default2 FDE2default:default2$ IPHDR_LEN_reg[6]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[6]2default:default2 FDE2default:default2$ IPHDR_LEN_reg[7]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[7]2default:default2 FDE2default:default2$ IPHDR_LEN_reg[8]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[8]2default:default2 FDE2default:default2$ IPHDR_LEN_reg[9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IPHDR_LEN_reg[9]2default:default2 FDE2default:default2% IPHDR_LEN_reg[10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2% IPHDR_LEN_reg[10]2default:default2 FDE2default:default2% IPHDR_LEN_reg[11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2% IPHDR_LEN_reg[11]2default:default2 FDE2default:default2% IPHDR_LEN_reg[12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2% IPHDR_LEN_reg[12]2default:default2 FDE2default:default2% IPHDR_LEN_reg[13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2% IPHDR_LEN_reg[13]2default:default2 FDE2default:default2% IPHDR_LEN_reg[14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2% IPHDR_LEN_reg[14]2default:default2 FDE2default:default2% IPHDR_LEN_reg[15]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2' \IPHDR_LEN_reg[15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \KEEPALIVEcntr_reg[15] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_TCPdata_chksum/DATA_LEN_reg[0]2default:default2 FDR2default:default24 i_TCPdata_chksum/DATA_LEN_reg[1]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_TCPdata_chksum/DATA_LEN_reg[1]2default:default2 FDR2default:default24 i_TCPdata_chksum/DATA_LEN_reg[2]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_TCPdata_chksum/\DATA_LEN_reg[2] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys25 !i_TCPdata_chksum/DATA_SIZE_reg[0]2default:default2 FD2default:default25 !i_TCPdata_chksum/DATA_SIZE_reg[2]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys25 !i_TCPdata_chksum/DATA_SIZE_reg[1]2default:default2 FD2default:default25 !i_TCPdata_chksum/DATA_SIZE_reg[2]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default27 #i_TCPdata_chksum/\DATA_SIZE_reg[2] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( bad_LEN_pair_reg[13]2default:default2 FDRE2default:default2( bad_LEN_pair_reg[14]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2) ReTx_FIFO_WrError_reg2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( bad_LEN_pair_reg[14]2default:default2 FDRE2default:default2( bad_LEN_pair_reg[15]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( bad_LEN_pair_reg[15]2default:default2 FDRE2default:default2( bad_LEN_pair_reg[30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][16]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][17]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default23 i_EMAC_Rx_if/\goto_DROP_reg[9] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][18]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][19]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][20]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][21]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][22]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][23]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][24]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][25]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][26]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][27]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2+ i_TCP_CC/CWND_i_reg[28]2default:default2 FDE2default:default2+ i_TCP_CC/CWND_i_reg[29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][28]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2+ i_TCP_CC/CWND_i_reg[29]2default:default2 FDE2default:default2+ i_TCP_CC/CWND_i_reg[30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][29]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2( bad_LEN_pair_reg[30]2default:default2 FDRE2default:default2( bad_LEN_pair_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2+ i_TCP_CC/CWND_i_reg[30]2default:default2 FDE2default:default2+ i_TCP_CC/CWND_i_reg[31]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys24 i_EMAC_Rx_if/DROPCntr_reg[0][30]2default:default2 FDRE2default:default24 i_EMAC_Rx_if/DROPCntr_reg[0][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \bad_LEN_pair_reg[31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2- i_TCP_CC/\CWND_i_reg[31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[0][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2) \TCP_DATA_LEN_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2) \TCP_DATA_LEN_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2) \TCP_DATA_LEN_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2& \CWND_LTA_reg[29] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2& \CWND_LTA_reg[30] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2& \CWND_LTA_reg[31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][3] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][4] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][5] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][6] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][7] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][8] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default25 !i_EMAC_Rx_if/\DROPCntr_reg[9][9] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][10] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][11] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][12] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][13] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][14] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default26 "i_EMAC_Rx_if/\DROPCntr_reg[9][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2$ \TCP_LEN_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2$ \TCP_LEN_reg[1] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2" HDR_LEN_reg[1]2default:default2 FD2default:default2% TCPHDR_end_reg[1]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2/ i_TCP_CC/\SSTHRESH_reg[27] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2$ IS_CONNECTED_reg2default:default2 FD2default:default2$ TCPstates_reg[1]2default:defaultZ8-3886hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 RxC2default:default2 42default:default2 52default:defaultZ8-5544hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2% \c_coded_reg[55] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2R >i_SFP3_init/\gt0_rxresetfsm_i/recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2R >i_SFP3_init/\gt0_rxresetfsm_i/recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2R >i_SFP3_init/\gt1_rxresetfsm_i/recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2R >i_SFP3_init/\gt1_rxresetfsm_i/recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2a Mi_SFP3_init/\g_gt2_resetfsm.gt2_rxresetfsm_i/recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2a Mi_SFP3_init/\g_gt2_resetfsm.gt2_rxresetfsm_i/recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2, \RETX_ddr_rp_di_reg[17] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \RETX_ddr_rp_a_reg[2] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2. \SFP_TXSEQUENCE_reg[2][6] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2. \SFP_TXSEQUENCE_reg[1][6] 2default:defaultZ8-3333hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33332default:default2 1002default:defaultZ17-14hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2# buf_to_load_int2default:default2 32default:default2 52default:defaultZ8-5544hpx  TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2# addr_to_set_int2default:defaultZ8-5587hpx  TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2# addr_to_set_int2default:defaultZ8-5587hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 event_data2default:default2 32default:default2 52default:defaultZ8-5544hpx z 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 do_sum_int2default:defaultZ8-5546hpx { 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 clr_sum_int2default:defaultZ8-5546hpx } 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2! int_valid_int2default:defaultZ8-5546hpx y 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 cksum_int2default:defaultZ8-5546hpx z 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 do_sum_int2default:defaultZ8-5546hpx { 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 clr_sum_int2default:defaultZ8-5546hpx } 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2! int_valid_int2default:defaultZ8-5546hpx y 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 cksum_int2default:defaultZ8-5546hpx | %s *synth2d PDSP Report: Generating DSP i_lock/I2/R, operation Mode is: (A:0x5dc)*(B:0x104). 2default:defaulthp x  o %s *synth2W CDSP Report: operator i_lock/I2/R is absorbed into DSP i_lock/I2/R. 2default:defaulthp x  | %s *synth2d PDSP Report: Generating DSP i_lock/I2/R, operation Mode is: (A:0xbb8)*(B:0x104). 2default:defaulthp x  o %s *synth2W CDSP Report: operator i_lock/I2/R is absorbed into DSP i_lock/I2/R. 2default:defaulthp x  } %s *synth2e QDSP Report: Generating DSP i_lock/I2/R, operation Mode is: (A:0x1194)*(B:0x104). 2default:defaulthp x  o %s *synth2W CDSP Report: operator i_lock/I2/R is absorbed into DSP i_lock/I2/R. 2default:defaulthp x   TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2 ram_wa2default:defaultZ8-5587hpx  TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2 B2default:defaultZ8-5587hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2 cmd_reg2default:default2 322default:default2 272default:default2< &D:/amc13-firmware/src/top/AMC13_T1.vhd2default:default2 10002default:default8@Z8-3936hpx  PSwapped enable and write-enable on %s RAM instances of RAM %s to conserve power 3784*oasys2 12default:default2( internal_ram/ram_reg2default:defaultZ8-4652hpx  PSwapped enable and write-enable on %s RAM instances of RAM %s to conserve power 3784*oasys2 82default:default2 ram_reg2default:defaultZ8-4652hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:05:14 ; elapsed = 00:05:15 . Memory (MB): peak = 2300.980 ; gain = 1273.016 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  d %s *synth2L 8 Block RAM: Preliminary Mapping Report (see note below) 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | internal_ram/ram_reg | 4 K x 8(READ_FIRST) | W | | 4 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram1_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram2_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram3_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram4_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if /ipbus_tx_ram | ram_reg | 8 K x 32(NO_CHANGE) | W | | 8 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 8 | 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x   %s *synth2 Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. 2default:defaulthp x  j %s *synth2R > Distributed RAM: Preliminary Mapping Report (see note below) 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_A.ddr_byte_lane_A/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_B.ddr_byte_lane_B/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_C.ddr_byte_lane_C/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. 2default:defaulthp x  ^ %s *synth2F 2 DSP: Preliminary Mapping Report (see note below) 2default:defaulthp x   %s *synth2 +----------------+----------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ 2default:defaulthp x   %s *synth2 |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 2default:defaulthp x   %s *synth2 +----------------+----------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ 2default:defaulthp x   %s *synth2 |lock_detect_lpm | (A:0x5dc)*(B:0x104) | 11 | 9 | - | - | 20 | 0 | 0 | - | - | - | 0 | 0 | 2default:defaulthp x   %s *synth2 |lock_detect_lpm | (A:0xbb8)*(B:0x104) | 12 | 9 | - | - | 21 | 0 | 0 | - | - | - | 0 | 0 | 2default:defaulthp x   %s *synth2 |lock_detect_lpm | (A:0x1194)*(B:0x104) | 13 | 9 | - | - | 22 | 0 | 0 | - | - | - | 0 | 0 | 2default:defaulthp x   %s *synth2 +----------------+----------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ 2default:defaulthp x   %s *synth2 Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  R %s *synth2: &Start Applying XDC Timing Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   $%s for constraint at line %s of %s. 3321*oasys22 set_false_path : Empty to list2default:default2 462default:default2= )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2? )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2 462default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys22 set_false_path : Empty to list2default:default2 482default:default2= )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2? )D:/amc13-firmware/src/top/AMC13_T1_g2.xdc2default:default2 482default:default8@Z8-3321hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Applying XDC Timing Constraints : Time (s): cpu = 00:05:31 ; elapsed = 00:05:33 . Memory (MB): peak = 2300.980 ; gain = 1273.016 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  F %s *synth2. Start Timing Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 ~Finished Timing Optimization : Time (s): cpu = 00:06:46 ; elapsed = 00:06:49 . Memory (MB): peak = 2501.617 ; gain = 1473.652 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 ! Block RAM: Final Mapping Report 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | internal_ram/ram_reg | 4 K x 8(READ_FIRST) | W | | 4 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram1_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram2_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram3_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if | ipbus_rx_ram/ram4_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2default:defaulthp x   %s *synth2 |i_ipbus_if/\i_ipbus_ctrl/udp_if /ipbus_tx_ram | ram_reg | 8 K x 32(NO_CHANGE) | W | | 8 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 8 | 2default:defaulthp x   %s *synth2 +----------------------------------------------+-----------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ 2default:defaulthp x  S %s *synth2; ' Distributed RAM: Final Mapping Report 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_A.ddr_byte_lane_A/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_B.ddr_byte_lane_B/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_byte_lane_C.ddr_byte_lane_C/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/dq_gen_40.if_post_fifo_gen.u_ddr_if_post_fifo/mem_reg | Implied | 4 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/of_pre_fifo_gen.u_ddr_of_pre_fifo/mem_reg | Implied | 16 x 80 | RAM32M x 14 | 2default:defaulthp x   %s *synth2 +------------+-------------------------------------------------------------------------------------------------------------------------+-----------+----------------------+--------------+ 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2- Start Technology Mapping 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2K 7i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/internal_ram/ram_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram1_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram1_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram2_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram2_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram3_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram3_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram4_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2N :i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram4_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_22default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_32default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_42default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_52default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_62default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2M 9i_0/i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_72default:default2 Block2default:defaultZ8-7052hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 }Finished Technology Mapping : Time (s): cpu = 00:07:25 ; elapsed = 00:07:28 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ? %s *synth2' Start IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Q %s *synth29 %Start Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  T %s *synth2< (Finished Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2G 3i_ipbus_if/i_ipbus_ctrl/udp_if/internal_ram/ram_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram1_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram1_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram2_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram2_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram3_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram3_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram4_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2J 6i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_rx_ram/ram4_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_22default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_32default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_42default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_52default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_62default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2I 5i_ipbus_if/i_ipbus_ctrl/udp_if/ipbus_tx_ram/ram_reg_72default:default2 Block2default:defaultZ8-7052hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/if_empty_r_inferred2default:default2 in0[3]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/if_empty_r_inferred2default:default2 in0[2]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/if_empty_r_inferred2default:default2 in0[1]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/if_empty_r_inferred2default:default2 in0[0]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ififo_rd_en_in_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/if_empty_r_inferred2default:default2 in0[3]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/if_empty_r_inferred2default:default2 in0[2]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/if_empty_r_inferred2default:default2 in0[1]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/if_empty_r_inferred2default:default2 in0[0]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/ififo_rd_en_in_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/if_empty_r_inferred2default:default2 in0[3]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/if_empty_r_inferred2default:default2 in0[2]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/if_empty_r_inferred2default:default2 in0[1]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/if_empty_r_inferred2default:default2 in0[0]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/ififo_rd_en_in_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/if_empty_r_inferred2default:default2 in0[3]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/if_empty_r_inferred2default:default2 in0[2]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/if_empty_r_inferred2default:default2 in0[1]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/if_empty_r_inferred2default:default2 in0[0]2default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ififo_rd_en_in_inferred2default:default2 in02default:defaultZ8-3295hpx  4design has %s instantiated BUFGs while the %s is %s 3703*oasys2 192default:default2; 'limit set by the -bufg synthesis option2default:default2 122default:defaultZ8-4560hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 wFinished IO Insertion : Time (s): cpu = 00:08:02 ; elapsed = 00:08:06 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Start Renaming Generated Instances 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Instances : Time (s): cpu = 00:08:02 ; elapsed = 00:08:06 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start Rebuilding User Hierarchy 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Rebuilding User Hierarchy : Time (s): cpu = 00:08:25 ; elapsed = 00:08:28 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Renaming Generated Ports 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Ports : Time (s): cpu = 00:08:25 ; elapsed = 00:08:29 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:08:28 ; elapsed = 00:08:32 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  J %s *synth22 Start Renaming Generated Nets 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Nets : Time (s): cpu = 00:08:29 ; elapsed = 00:08:33 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23  Static Shift Register Report: 2default:defaulthp x   %s *synth2 +------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | 2default:defaulthp x   %s *synth2 +------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/ovfl_warning_SyncRegs_reg[3] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/T3_triggerSyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/localL1A_s_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/localL1A_r_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/L1A_dl24_reg[21] | 22 | 1 | NO | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/L1A_dl99_reg[74] | 75 | 1 | NO | NO | YES | 0 | 3 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/L1A_dl239_reg[139] | 140 | 1 | NO | NO | YES | 0 | 5 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/reset_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/single_TTCcmd_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/OcnRst_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/EvnRst_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ttc_if/L1AToggleSync_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/rclk_delay_reg[11] | 12 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/ddr_byte_group_io/rst_r4_reg | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/mb_wrlvl_inst.u_ddr_phy_wrlvl/phy_ctl_ready_r5_reg | 5 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/mb_wrlvl_inst.u_ddr_phy_ck_addr_cmd_delay/delay_done_r3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/oclk_calib.u_ddr_phy_oclkdelay_cal/delay_done_r4_reg | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/dqsfind_calib_right.u_ddr_phy_dqs_found_cal/init_dqsfound_done_r5_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_init/wrlvl_rank_done_r7_reg | 6 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_init/oclkdelay_start_dly_r_reg[5] | 6 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_init/wrcal_start_dly_r_reg[5] | 6 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_init/rdlvl_start_dly0_r_reg[14] | 15 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_init/prech_done_reg | 17 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_wrcal/gen_pat_match_div4.gen_pat_match[0].pat_match_rise2_r_reg[0] | 3 | 4 | NO | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/u_ddr_phy_wrcal/wrcal_pat_resume_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/reset_if_r9_reg | 9 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_calib_top/ck_addr_cmd_delay_done_r6_reg | 6 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/rst_r4_reg | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/rclk_delay_reg[11] | 12 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/rclk_delay_reg[11] | 12 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_rport/ipbReadSyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/g_ddr_wportA[0].i_ddr_wportA/EoB_toggleSyncRegs_reg[2] | 3 | 6 | NO | NO | YES | 6 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_wportB/wcountToggle0SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_wportB/wcountToggle1SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_wportB/wcountToggle2SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/g_AMC_Link[0].i_AMC_Link/i_TTC_trigger/ToggleSyncRegs_reg[2] | 3 | 24 | NO | NO | YES | 24 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/g_AMC_Link[0].i_AMC_Link/evn_OK_reg[4] | 5 | 12 | NO | NO | YES | 12 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/i_AMC_wrapper/sampleRatioSync_reg[0][2] | 3 | 12 | NO | NO | YES | 12 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/i_fake_event/ovfl_warning_sync_reg[3] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/ReSyncFakeEventToggleSyncRegs_reg[8][2] | 3 | 12 | NO | NO | YES | 12 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/badEventCRCToggleSyncRegs_reg[0][2] | 3 | 12 | NO | NO | YES | 12 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/EventBuiltToggleSyncRegs_reg[0][2] | 3 | 3 | NO | NO | YES | 3 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_AMC_if/TTS_FIFO_waSyncRegs3_reg[2] | 3 | 3 | NO | NO | YES | 3 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | g_TCPIP_if.i_TCPIP_if/g_TCPIP[2].i_TCPIP/i_EMAC_Rx_if/Frame_end_dl_reg[3] | 3 | 21 | NO | NO | YES | 21 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | g_TCPIP_if.i_TCPIP_if/ld_RETXdata_chksum_r2_reg[2] | 3 | 3 | NO | NO | YES | 3 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | g_TCPIP_if.i_TCPIP_if/SFP_pd_q_reg[1][2] | 3 | 3 | NO | NO | YES | 3 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_txresetfsm_i/mmcm_lock_reclocked_reg[0] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_txresetfsm_i/txresetdone_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_txresetfsm_i/run_phase_alignment_int_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_txresetfsm_i/tx_fsm_reset_done_int_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_txresetfsm_i/time_out_wait_bypass_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_rxresetfsm_i/mmcm_lock_reclocked_reg[0] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_rxresetfsm_i/rxresetdone_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_rxresetfsm_i/run_phase_alignment_int_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_rxresetfsm_i/rx_fsm_reset_done_int_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_S6Link_init/gt0_rxresetfsm_i/time_out_wait_bypass_s3_reg | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/wr_amc_en_SyncRegs_reg[2] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_rport/ipbus_rbuf_wap_reg[2] | 4 | 3 | YES | NO | YES | 3 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_rport/queue_wap_reg[0] | 4 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ddr_if/i_ddr_wportB/TCPqueue_wap_reg[3] | 4 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_reg[41] | 22 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ip_pkt.pkt_mask_reg[27] | 6 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ip_pkt.pkt_mask_reg[17] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ping.pkt_mask_reg[35] | 23 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ipbus_pkt.pkt_mask_reg[37] | 23 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ipbus_mask.pkt_mask_reg[44] | 37 | 1 | YES | NO | YES | 0 | 2 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_mask_reg[41] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_mask_reg[37] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_mask_reg[15] | 10 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/resend/resend_pkt_id_block.pkt_mask_reg[44] | 31 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ipbus_pkt.pkt_mask_reg[11] | 10 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_mask_reg[11] | 6 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_reg[19] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[290] | 4 | 7 | YES | NO | YES | 7 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[246] | 13 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[241] | 6 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[239] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[229] | 10 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[208] | 5 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[119] | 7 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/data_block.data_buffer_reg[114] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/rarp_data_reg[7] | 6 | 7 | YES | NO | YES | 7 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/RARP_block/rarp_data_reg[3] | 7 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_mask_reg[29] | 10 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ip_pkt.pkt_data_reg[122] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ip_pkt.pkt_data_reg[79] | 6 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/ip_pkt.pkt_data_reg[67] | 5 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_data_reg[111] | 10 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_data_reg[90] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/arp.pkt_data_reg[72] | 5 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[126] | 13 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[123] | 4 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[121] | 6 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[119] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[109] | 10 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[88] | 9 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |AMC13_T1 | i_ipbus_if/i_ipbus_ctrl/udp_if/rx_packet_parser/rarp.pkt_data_reg[83] | 5 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 +------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Writing Synthesis Report 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  A %s *synth2)  Report BlackBoxes: 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  J %s *synth22 | |BlackBox name |Instances | 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  A %s *synth2)  Report Cell Usage: 2default:defaulthp x  L %s *synth24 +------+---------------+------+ 2default:defaulthp x  L %s *synth24 | |Cell |Count | 2default:defaulthp x  L %s *synth24 +------+---------------+------+ 2default:defaulthp x  L %s *synth24 |1 |BUFG | 15| 2default:defaulthp x  L %s *synth24 |2 |BUFGCTRL | 1| 2default:defaulthp x  L %s *synth24 |3 |BUFH | 15| 2default:defaulthp x  L %s *synth24 |4 |BUFIO | 3| 2default:defaulthp x  L %s *synth24 |5 |CARRY4 | 4785| 2default:defaulthp x  L %s *synth24 |6 |DNA_PORT | 1| 2default:defaulthp x  L %s *synth24 |7 |DSP48E1 | 5| 2default:defaulthp x  L %s *synth24 |10 |FIFO18E1 | 7| 2default:defaulthp x  L %s *synth24 |13 |FIFO36E1 | 304| 2default:defaulthp x  L %s *synth24 |21 |GTXE2_CHANNEL | 16| 2default:defaulthp x  L %s *synth24 |24 |GTXE2_COMMON | 4| 2default:defaulthp x  L %s *synth24 |25 |IBUFDS_GTE2 | 3| 2default:defaulthp x  L %s *synth24 |26 |IDELAYCTRL | 1| 2default:defaulthp x  L %s *synth24 |27 |IDELAYE2 | 32| 2default:defaulthp x  L %s *synth24 |28 |IN_FIFO | 4| 2default:defaulthp x  L %s *synth24 |29 |ISERDESE2 | 32| 2default:defaulthp x  L %s *synth24 |30 |LUT1 | 2726| 2default:defaulthp x  L %s *synth24 |31 |LUT2 | 10818| 2default:defaulthp x  L %s *synth24 |32 |LUT3 | 9923| 2default:defaulthp x  L %s *synth24 |33 |LUT4 | 8788| 2default:defaulthp x  L %s *synth24 |34 |LUT5 | 10314| 2default:defaulthp x  L %s *synth24 |35 |LUT6 | 20224| 2default:defaulthp x  L %s *synth24 |36 |MMCME2_ADV | 1| 2default:defaulthp x  L %s *synth24 |37 |MUXF7 | 1635| 2default:defaulthp x  L %s *synth24 |38 |MUXF8 | 163| 2default:defaulthp x  L %s *synth24 |39 |ODDR | 10| 2default:defaulthp x  L %s *synth24 |40 |OSERDESE2 | 62| 2default:defaulthp x  L %s *synth24 |43 |OUT_FIFO | 8| 2default:defaulthp x  L %s *synth24 |45 |PHASER_IN_PHY | 4| 2default:defaulthp x  L %s *synth24 |46 |PHASER_OUT_PHY | 8| 2default:defaulthp x  L %s *synth24 |48 |PHASER_REF | 3| 2default:defaulthp x  L %s *synth24 |49 |PHY_CONTROL | 3| 2default:defaulthp x  L %s *synth24 |50 |PLLE2_ADV | 1| 2default:defaulthp x  L %s *synth24 |51 |PLLE2_BASE | 4| 2default:defaulthp x  L %s *synth24 |52 |RAM128X1D | 32| 2default:defaulthp x  L %s *synth24 |53 |RAM32M | 394| 2default:defaulthp x  L %s *synth24 |54 |RAM32X1D | 239| 2default:defaulthp x  L %s *synth24 |55 |RAM64M | 1| 2default:defaulthp x  L %s *synth24 |56 |RAM64X1D | 455| 2default:defaulthp x  L %s *synth24 |58 |RAMB18E1 | 30| 2default:defaulthp x  L %s *synth24 |66 |RAMB36E1 | 95| 2default:defaulthp x  L %s *synth24 |73 |ROM256X1 | 1| 2default:defaulthp x  L %s *synth24 |74 |ROM32X1 | 57| 2default:defaulthp x  L %s *synth24 |75 |SRL16 | 1| 2default:defaulthp x  L %s *synth24 |76 |SRL16E | 1801| 2default:defaulthp x  L %s *synth24 |77 |SRLC32E | 50| 2default:defaulthp x  L %s *synth24 |78 |XADC | 1| 2default:defaulthp x  L %s *synth24 |79 |FD | 1014| 2default:defaulthp x  L %s *synth24 |80 |FDCE | 3475| 2default:defaulthp x  L %s *synth24 |81 |FDPE | 365| 2default:defaulthp x  L %s *synth24 |82 |FDRE | 51722| 2default:defaulthp x  L %s *synth24 |83 |FDSE | 3845| 2default:defaulthp x  L %s *synth24 |84 |LDC | 1| 2default:defaulthp x  L %s *synth24 |85 |IBUF | 50| 2default:defaulthp x  L %s *synth24 |86 |IBUFDS | 2| 2default:defaulthp x  L %s *synth24 |87 |IBUFGDS | 3| 2default:defaulthp x  L %s *synth24 |88 |IOBUF | 4| 2default:defaulthp x  L %s *synth24 |89 |IOBUFDS_DCIEN | 4| 2default:defaulthp x  L %s *synth24 |90 |IOBUF_DCIEN | 32| 2default:defaulthp x  L %s *synth24 |91 |OBUF | 43| 2default:defaulthp x  L %s *synth24 |92 |OBUFDS | 3| 2default:defaulthp x  L %s *synth24 |93 |OBUFT | 5| 2default:defaulthp x  L %s *synth24 +------+---------------+------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Writing Synthesis Report : Time (s): cpu = 00:08:29 ; elapsed = 00:08:33 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  t %s *synth2\ HSynthesis finished with 0 errors, 0 critical warnings and 327 warnings. 2default:defaulthp x   %s *synth2 Synthesis Optimization Runtime : Time (s): cpu = 00:07:03 ; elapsed = 00:07:56 . Memory (MB): peak = 2515.211 ; gain = 1094.625 2default:defaulthp x   %s *synth2 Synthesis Optimization Complete : Time (s): cpu = 00:08:30 ; elapsed = 00:08:34 . Memory (MB): peak = 2515.211 ; gain = 1487.246 2default:defaulthp x  B Translating synthesized netlist 350*projectZ1-571hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:032default:default2 00:00:032default:default2 2515.2112default:default2 0.0002default:defaultZ17-268hp x   The value of SIM_DEVICE on instance '%s' of type '%s' is '%s'; it is being changed to match the current FPGA architecture, '%s'. For functional simulation to match hardware behavior, the value of SIM_DEVICE should be changed in the source netlist. %s369*netlist2* i_ttc_if/i_TTS_clk_buf2default:default2 BUFGCTRL2default:default2 ULTRASCALE2default:default2 7SERIES2default:default2  2default:defaultZ29-345hpx h -Analyzing %s Unisim elements for replacement 17*netlist2 93482default:defaultZ29-17hpx j 2Unisim Transformation completed in %s CPU seconds 28*netlist2 12default:defaultZ29-28hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx h 1Inserted %s IBUFs to IO ports without IO buffers.100*opt2 242default:defaultZ31-140hpx h 1Inserted %s OBUFs to IO ports without IO buffers.101*opt2 242default:defaultZ31-141hpx v )Pushed %s inverter(s) to %s load pin(s). 98*opt2 42default:default2 322default:defaultZ31-138hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0572default:default2 2515.2112default:default2 0.0002default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2  A total of 2243 instances were transformed. FD => FDRE: 1014 instances IBUFGDS => IBUFDS: 3 instances IOBUF => IOBUF (IBUF, OBUFT): 4 instances IOBUFDS_DCIEN => IOBUFDS_DCIEN (IBUFDS_IBUFDISABLE_INT(x2), INV, OBUFTDS_DCIEN(x2)): 4 instances IOBUF_DCIEN => IOBUF_DCIEN (IBUF_IBUFDISABLE, OBUFT_DCIEN): 32 instances LDC => LDCE: 1 instance OBUFDS => OBUFDS_DUAL_BUF (INV, OBUFDS(x2)): 1 instance PLLE2_BASE => PLLE2_ADV: 4 instances RAM128X1D => RAM128X1D (MUXF7(x2), RAMD64E(x4)): 32 instances RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 394 instances RAM32X1D => RAM32X1D (RAMD32(x2)): 239 instances RAM64M => RAM64M (RAMD64E(x4)): 1 instance RAM64X1D => RAM64X1D (RAMD64E(x2)): 441 instances RAM64X1D_1 => RAM64X1D (inverted pins: WCLK) (RAMD64E(x2)): 14 instances ROM256X1 => ROM256X1 (LUT6(x4), MUXF7(x2), MUXF8): 1 instance ROM32X1 => LUT5: 57 instances SRL16 => SRL16E: 1 instance 2default:defaultZ1-111hpx U Releasing license: %s 83*common2 Synthesis2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 10302default:default2 5552default:default2 02default:default2 02default:defaultZ4-41hpx ^ %s completed successfully 29* vivadotcl2 synth_design2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2" synth_design: 2default:default2 00:09:182default:default2 00:09:232default:default2 2515.2112default:default2 1487.2462default:defaultZ17-268hp x   The %s '%s' has been generated. 621*common2 checkpoint2default:default2\ HD:/amc13-firmware/proj/AMC13_T1_g2/AMC13_T1_g2.runs/synth_1/AMC13_T1.dcp2default:defaultZ17-1381hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2& write_checkpoint: 2default:default2 00:00:222default:default2 00:00:172default:default2 2515.2112default:default2 0.0002default:defaultZ17-268hp x   %s4*runtcl2z fExecuting : report_utilization -file AMC13_T1_utilization_synth.rpt -pb AMC13_T1_utilization_synth.pb 2default:defaulthpx  Exiting %s at %s... 206*common2 Vivado2default:default2, Fri Mar 12 15:43:38 20212default:defaultZ17-206hpx  End Record