Q Command: %s 53* vivadotcl2 place_design2default:defaultZ4-113hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2" Implementation2default:default2 xc7k325t2default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2" Implementation2default:default2 xc7k325t2default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2021.012default:defaultZ17-1540hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx V DRC finished with %s 79* vivadotcl2 0 Errors2default:defaultZ4-198hpx e BPlease refer to the DRC report (report_drc) for more information. 80* vivadotclZ4-199hpx p ,Running DRC as a precondition to command %s 22* vivadotcl2 place_design2default:defaultZ4-22hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx  YReport rule limit reached: REQP-1839 rule limit reached: 20 violations have been found.%s*DRC29 !DRC|DRC System|Rule limit reached2default:default8ZCHECK-3hpx  YReport rule limit reached: REQP-1840 rule limit reached: 20 violations have been found.%s*DRC29 !DRC|DRC System|Rule limit reached2default:default8ZCHECK-3hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[10]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[10]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[5]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[5]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[5] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[5]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[11]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[11]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[6]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[6]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[6] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[6]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[12]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[12]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[7]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[7]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[7] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[7]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[13]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[13]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[8]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[8]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[8] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[8]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[14]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[14]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[9]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[9]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[9] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[9]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[5]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[5]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[0]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[0]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[0] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[6]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[6]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[1]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[1]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[1] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[7]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[7]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[2]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[2]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[2] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[2]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[8]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[8]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[3]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[3]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[3] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[3]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[9]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRARDADDR[9]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[4]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/I1[4]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[4] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/gcc0.gc0.count_d1_reg[4]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[10]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[10]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[5]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[5]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[5] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[5]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[11]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[11]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[6]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[6]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[6] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[6]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[12]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[12]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[7]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[7]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[7] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[7]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[13]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[13]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[8]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[8]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[8] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[8]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[14]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[14]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[9]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[9]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[9] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[9]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[5]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[5]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[0]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[0]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[0] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[6]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[6]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[1]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[1]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[1] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[7]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[7]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[2]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[2]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[2] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[2]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[8]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[8]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[3]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[3]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[3] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[3]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx  RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[9]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram/ADDRBWRADDR[9]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[4]g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/prim_noinit.ram/O3[4]2default:default2default:default2 " g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[4] g_DAQLSC_if.i_DAQLSC_if/g_10g.i_DaqLSCXG/g_SLINK_opt[0].Inst_SLINK_opt/i1/generator_inst.i1/rnd_mem_trig/fifo_rnd/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/gc0.count_d1_reg[4]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[10]Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[10]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[6]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[6]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[6] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[6]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[11]Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[11]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[7]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[7]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[7] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[7]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[12]Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[12]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[8]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[8]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[8] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[8]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[13]Xi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[13]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[9]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[9]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[9] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[9]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[4]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[4]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[0]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[0]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[0] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[5]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[5]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[1]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[1]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[1] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[6]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[6]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[2]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[2]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[2] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[2]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[7]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[7]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[3]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[3]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[3] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[3]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[8]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[8]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[4]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[4]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[4] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[4]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[9]Wi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[9]2default:default2default:default2 " Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[5]Mi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[5]2default:default2default:default2 "~ 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[5] 3i_AMC_if/g_AMC_Link[0].i_AMC_Link/L1Ainfo_wa_reg[5]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[10]Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[10]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[6]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[6]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[6] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[6]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[11]Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[11]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[7]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[7]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[7] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[7]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[12]Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[12]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[8]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[8]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[8] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[8]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[13]Yi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[13]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[9]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[9]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[9] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[9]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[4]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[4]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[0]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[0]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[0] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[5]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[5]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[1]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[1]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[1] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[6]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[6]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[2]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[2]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[2] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[2]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[7]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[7]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[3]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[3]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[3] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[3]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[8]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[8]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[4]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[4]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[4] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[4]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx RAMB18 async control check: The RAMB18E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2default:default2 " Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[9]Xi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl/ADDRBWRADDR[9]2default:default2default:default2 " Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[5]Ni_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl_0[5]2default:default2default:default2 " 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[5] 4i_AMC_if/g_AMC_Link[10].i_AMC_Link/L1Ainfo_wa_reg[5]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB18E12default:default8Z REQP-1840hpx  yIO port buffering is incomplete: Device port %s expects both input and output buffering but the buffers are incomplete.%s*DRC2< "& CLK_SDACLK_SDA2default:default2default:default28  DRC|Netlist|Port|Required Buffer2default:default8ZRPBF-3hpx c DRC finished with %s 79* vivadotcl2) 0 Errors, 43 Warnings2default:defaultZ4-198hpx e BPlease refer to the DRC report (report_drc) for more information. 80* vivadotclZ4-199hpx U  Starting %s Task 103* constraints2 Placer2default:defaultZ18-103hpx } BMultithreading enabled for place_design using a maximum of %s CPUs12* placeflow2 22default:defaultZ30-611hpx v Phase %s%s 101* constraints2 1 2default:default2) Placer Initialization2default:defaultZ18-101hpx  Phase %s%s 101* constraints2 1.1 2default:default29 %Placer Initialization Netlist Sorting2default:defaultZ18-101hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0512default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x  Z EPhase 1.1 Placer Initialization Netlist Sorting | Checksum: e6a13081 *commonhpx   %s * constraints2s _Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.084 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0502default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x   Phase %s%s 101* constraints2 1.2 2default:default2F 2IO Placement/ Clock Placement/ Build Placer Device2default:defaultZ18-101hpx  [Partially locked IO Bus is found. Following components of the IO Bus %s are not locked: %s 87*place2 VAUXN2default:default2  'VAUXN[7]' 'VAUXN[6]' 'VAUXN[1]' " VAUXN[7]2 ':' '" VAUXN[6]:' '" VAUXN[1]:' 2default:default8Z30-87hpx  [Partially locked IO Bus is found. Following components of the IO Bus %s are not locked: %s 87*place2 VAUXP2default:default2  'VAUXP[7]' 'VAUXP[6]' 'VAUXP[1]' " VAUXP[7]2 ':' '" VAUXP[6]:' '" VAUXP[1]:' 2default:default8Z30-87hpx g RPhase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 9bd723f1 *commonhpx   %s * constraints2o [Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx } Phase %s%s 101* constraints2 1.3 2default:default2. Build Placer Netlist Model2default:defaultZ18-101hpx P ;Phase 1.3 Build Placer Netlist Model | Checksum: 115d5bb4f *commonhpx   %s * constraints2o [Time (s): cpu = 00:01:07 ; elapsed = 00:00:49 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx z Phase %s%s 101* constraints2 1.4 2default:default2+ Constrain Clocks/Macros2default:defaultZ18-101hpx M 8Phase 1.4 Constrain Clocks/Macros | Checksum: 115d5bb4f *commonhpx   %s * constraints2o [Time (s): cpu = 00:01:08 ; elapsed = 00:00:50 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx I 4Phase 1 Placer Initialization | Checksum: 115d5bb4f *commonhpx   %s * constraints2o [Time (s): cpu = 00:01:08 ; elapsed = 00:00:50 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx q Phase %s%s 101* constraints2 2 2default:default2$ Global Placement2default:defaultZ18-101hpx p Phase %s%s 101* constraints2 2.1 2default:default2! Floorplanning2default:defaultZ18-101hpx C .Phase 2.1 Floorplanning | Checksum: 1a840e241 *commonhpx   %s * constraints2o [Time (s): cpu = 00:01:20 ; elapsed = 00:00:57 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  Phase %s%s 101* constraints2 2.2 2default:default25 !Update Timing before SLR Path Opt2default:defaultZ18-101hpx W BPhase 2.2 Update Timing before SLR Path Opt | Checksum: 1264c8e09 *commonhpx   %s * constraints2o [Time (s): cpu = 00:01:30 ; elapsed = 00:01:04 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx x Phase %s%s 101* constraints2 2.3 2default:default2) Global Placement Core2default:defaultZ18-101hpx  Phase %s%s 101* constraints2 2.3.1 2default:default20 Physical Synthesis In Placer2default:defaultZ18-101hpx  FFound %s LUTNM shape to break, %s LUT instances to create LUTNM shape 553*physynth2 142default:default2 27192default:defaultZ32-1035hpx  YBreak lutnm for timing: one critical %s, two critical %s, total %s, new lutff created %s 561*physynth2 142default:default2 02default:default2 142default:default2 12default:defaultZ32-1044hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 11502default:default2! nets or cells2default:default2 142default:default2 cells2default:default2 11362default:default2 cells2default:default2 02default:default2 cell2default:defaultZ32-775hpx  =Pass %s. Identified %s candidate %s for fanout optimization. 76*physynth2 12default:default2 22default:default2 nets2default:defaultZ32-76hpx  'Processed net %s. Replicated %s times. 81*physynth28 i_AMC_if/CntrRsti_AMC_if/CntrRst2default:default2 222default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2J i_AMC_if/resetSyncRegs[0]i_AMC_if/resetSyncRegs[0]2default:default2 82default:default8Z32-81hpx  $Optimized %s %s. Created %s new %s. 216*physynth2 22default:default2 nets2default:default2 302default:default2 instances2default:defaultZ32-232hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 22default:default2! nets or cells2default:default2 302default:default2 cells2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.3492default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x   =Pass %s. Identified %s candidate %s for fanout optimization. 76*physynth2 12default:default2 12default:default2 net2default:defaultZ32-76hpx  $Optimized %s %s. Created %s new %s. 216*physynth2 02default:default2 net2default:default2 02default:default2 instance2default:defaultZ32-232hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.1432default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x   DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/RDEN1_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/RDEN1_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__57 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__572default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_outIi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_out2default:default2 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__35 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__352default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Di_AMC_if/g_AMC_Link[1].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDENDi_AMC_if/g_AMC_Link[1].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDEN2default:default2 di_AMC_if/g_AMC_Link[1].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__6 di_AMC_if/g_AMC_Link[1].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__62default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/RDEN5_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/RDEN5_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__61 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__612default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__56 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__562default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_outIi_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_out2default:default2 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__32 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__322default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__58 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__582default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2d &i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[10]&i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[10]2default:default2 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__93 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__932default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2b %i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[6]%i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[6]2default:default2 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__89 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__892default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/RDEN1_outIi_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/RDEN1_out2default:default2 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__29 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[2].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__292default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2d &i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[11]&i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[11]2default:default2 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__94 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__942default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ji_AMC_if/g_AMC_Link[11].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_outJi_AMC_if/g_AMC_Link[11].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_out2default:default2 fi_AMC_if/g_AMC_Link[11].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__80 fi_AMC_if/g_AMC_Link[11].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__802default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/RDEN5_outIi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/RDEN5_out2default:default2 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__40 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[6].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__402default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Di_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDENDi_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDEN2default:default2 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__27 ei_AMC_if/g_AMC_Link[4].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__272default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ji_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_outJi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_out2default:default2 fi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__72 fi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__722default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_outIi_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_out2default:default2 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__66 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__662default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ji_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_outJi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_out2default:default2 fi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__70 fi_AMC_if/g_AMC_Link[10].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__702default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Di_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDENDi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDEN2default:default2 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__34 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__342default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_outIi_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/RDEN0_out2default:default2 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__63 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[1].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__632default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2b %i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[8]%i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[8]2default:default2 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__91 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__912default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Di_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDENDi_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDEN2default:default2 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__62 ei_AMC_if/g_AMC_Link[9].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__622default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Di_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDENDi_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/RDEN2default:default2 ei_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__20 ei_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[0].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__202default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_outIi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_out2default:default2 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__38 ei_AMC_if/g_AMC_Link[5].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__382default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__60 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__602default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_outIi_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/RDEN4_out2default:default2 ei_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__25 ei_AMC_if/g_AMC_Link[3].i_AMC_Link/i_AMC_DATA/g_FIFO[5].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__252default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[2].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_outIi_AMC_if/g_AMC_Link[2].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/RDEN2_out2default:default2 ei_AMC_if/g_AMC_Link[2].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__16 ei_AMC_if/g_AMC_Link[2].i_AMC_Link/i_AMC_DATA/g_FIFO[3].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__162default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2 Ii_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_outIi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/RDEN3_out2default:default2 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__59 ei_AMC_if/g_AMC_Link[8].i_AMC_Link/i_AMC_DATA/g_FIFO[4].i_FIFO_o/bl.fifo_36_inst_bl.fifo_36_bl_i_1__592default:default8Z32-117hpx  DNet %s could not be optimized because driver %s could not be cloned 117*physynth2b %i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[9]%i_AMC_if/i_evt_bldr0/AMC_DATA_RdEn[9]2default:default2 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__92 :i_AMC_if/i_evt_bldr0/bl.fifo_36_inst_bl.fifo_36_bl_i_1__922default:default8Z32-117hpx  ;Identified %s candidate %s for critical-cell optimization. 46*physynth2 12default:default2 net2default:defaultZ32-46hpx  $Optimized %s %s. Created %s new %s. 216*physynth2 02default:default2 net2default:default2 02default:default2 instance2default:defaultZ32-232hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx j FNo candidate cells for DSP register optimization found in the design. 274*physynthZ32-456hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 22default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx i DNo candidate cells found for Shift Register to Pipeline optimization564*physynthZ32-1123hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx h DNo candidate cells for SRL register optimization found in the design349*physynthZ32-677hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[0].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[10].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[11].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Ii_AMC_if/g_AMC_Link[11].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Ii_AMC_if/g_AMC_Link[11].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[1].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[1].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[2].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[2].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[3].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[3].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[4].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[4].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[5].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[5].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[6].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[6].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[7].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[7].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[7].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[8].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[2].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default2 B2default:default8Z32-614hpx  \Property '%s' on BRAM cell '%s' Port '%s' is 0. Skip BRAM Register Optimization on the port 328*physynth2 READ_WIDTH_B2default:default2 Hi_AMC_if/g_AMC_Link[9].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl Hi_AMC_if/g_AMC_Link[9].i_AMC_Link/i_L1Ainfo/sdp_bl.ramb18_dp_bl.ram18_bl2default:default2 B2default:default8Z32-614hpx  BPass %s: Identified %s candidate %s for BRAM register optimization298*physynth2 12default:default2 102default:default2 cells2default:defaultZ32-527hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[6].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[2].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[8].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[9].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[4].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[3].i_AMC_Link/g_EventBuffer[1].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[1].i_AMC_Link/g_EventBuffer[3].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ^i_AMC_if/g_AMC_Link[10].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  Processed cell %s. No change.340*physynth2 ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl ]i_AMC_if/g_AMC_Link[0].i_AMC_Link/g_EventBuffer[0].i_EventBuffer/sdp_bl.ramb36_dp_bl.ram36_bl2default:default8Z32-666hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0942default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x  j FNo candidate cells for URAM register optimization found in the design 437*physynthZ32-846hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 22default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx o KNo candidate nets found for dynamic/static region interface net replication521*physynthZ32-949hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.0512default:default2 3209.2112default:default2 0.0002default:defaultZ17-268hp x  B - Summary of Physical Synthesis Optimizations *commonhpx B -============================================ *commonhpx   *commonhpx   *commonhpx  ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx  | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx  | LUT Combining | 14 | 1136 | 1150 | 0 | 1 | 00:00:02 | | Very High Fanout | 30 | 0 | 2 | 0 | 1 | 00:00:02 | | Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Critical Cell | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:01 | | URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Total | 44 | 1136 | 1152 | 0 | 10 | 00:00:05 | ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx   *commonhpx   *commonhpx T ?Phase 2.3.1 Physical Synthesis In Placer | Checksum: 139f13eb4 *commonhpx   %s * constraints2o [Time (s): cpu = 00:03:36 ; elapsed = 00:02:28 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx K 6Phase 2.3 Global Placement Core | Checksum: 11c736520 *commonhpx   %s * constraints2o [Time (s): cpu = 00:03:43 ; elapsed = 00:02:33 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx D /Phase 2 Global Placement | Checksum: 11c736520 *commonhpx   %s * constraints2o [Time (s): cpu = 00:03:43 ; elapsed = 00:02:33 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx q Phase %s%s 101* constraints2 3 2default:default2$ Detail Placement2default:defaultZ18-101hpx } Phase %s%s 101* constraints2 3.1 2default:default2. Commit Multi Column Macros2default:defaultZ18-101hpx O :Phase 3.1 Commit Multi Column Macros | Checksum: b602382b *commonhpx   %s * constraints2o [Time (s): cpu = 00:03:55 ; elapsed = 00:02:40 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  Phase %s%s 101* constraints2 3.2 2default:default20 Commit Most Macros & LUTRAMs2default:defaultZ18-101hpx Q Phase 3.8 Pipeline Register Optimization | Checksum: 71b157d1 *commonhpx   %s * constraints2o [Time (s): cpu = 00:05:35 ; elapsed = 00:04:04 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx t Phase %s%s 101* constraints2 3.9 2default:default2% Fast Optimization2default:defaultZ18-101hpx F 1Phase 3.9 Fast Optimization | Checksum: 918a1bf2 *commonhpx   %s * constraints2o [Time (s): cpu = 00:06:09 ; elapsed = 00:04:24 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx C .Phase 3 Detail Placement | Checksum: 918a1bf2 *commonhpx   %s * constraints2o [Time (s): cpu = 00:06:10 ; elapsed = 00:04:25 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  Phase %s%s 101* constraints2 4 2default:default2< (Post Placement Optimization and Clean-Up2default:defaultZ18-101hpx { Phase %s%s 101* constraints2 4.1 2default:default2, Post Commit Optimization2default:defaultZ18-101hpx E %Done setting XDC timing constraints. 35*timingZ38-35hpx  Phase %s%s 101* constraints2 4.1.1 2default:default2/ Post Placement Optimization2default:defaultZ18-101hpx V APost Placement Optimization Initialization | Checksum: 160e31a5a *commonhpx u Phase %s%s 101* constraints2 4.1.1.1 2default:default2" BUFG Insertion2default:defaultZ18-101hpx a  Starting %s Task 103* constraints2& Physical Synthesis2default:defaultZ18-103hpx  Phase %s%s 101* constraints2 1 2default:default25 !Physical Synthesis Initialization2default:defaultZ18-101hpx  EMultithreading enabled for phys_opt_design using a maximum of %s CPUs380*physynth2 22default:defaultZ32-721hpx  (%s %s Timing Summary | WNS=%s | TNS=%s |333*physynth2 Estimated2default:default2 2default:default2 -0.4262default:default2 -4.4512default:defaultZ32-619hpx T ?Phase 1 Physical Synthesis Initialization | Checksum: ff4f935a *commonhpx   %s * constraints2o [Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  BUFG insertion identified %s candidate nets. Inserted BUFG: %s, Replicated BUFG Driver: %s, Skipped due to Placement/Routing Conflicts: %s, Skipped due to Timing Degradation: %s, Skipped due to Illegal Netlist: %s.43* placeflow2 02default:default2 02default:default2 02default:default2 02default:default2 02default:default2 02default:defaultZ46-56hpx I 4Ending Physical Synthesis Task | Checksum: bfa0f1f5 *commonhpx   %s * constraints2o [Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx H 3Phase 4.1.1.1 BUFG Insertion | Checksum: 160e31a5a *commonhpx   %s * constraints2o [Time (s): cpu = 00:06:58 ; elapsed = 00:04:57 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx  hPost Placement Timing Summary WNS=%s. For the most accurate timing information please run report_timing.610*place2 0.0252default:defaultZ30-746hpx   %s * constraints2o [Time (s): cpu = 00:07:56 ; elapsed = 00:05:40 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx M 8Phase 4.1 Post Commit Optimization | Checksum: e0641626 *commonhpx   %s * constraints2o [Time (s): cpu = 00:07:56 ; elapsed = 00:05:41 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx y Phase %s%s 101* constraints2 4.2 2default:default2* Post Placement Cleanup2default:defaultZ18-101hpx K 6Phase 4.2 Post Placement Cleanup | Checksum: e0641626 *commonhpx   %s * constraints2o [Time (s): cpu = 00:07:58 ; elapsed = 00:05:42 . Memory (MB): peak = 3209.211 ; gain = 0.0002default:defaulthpx s Phase %s%s 101* constraints2 4.3 2default:default2$ Placer Reporting2default:defaultZ18-101hpx  Phase %s%s 101* constraints2 4.3.1 2default:default2. Print Estimated Congestion2default:defaultZ18-101hpx  'Post-Placement Estimated Congestion %s 38* placeflow2  ____________________________________________________ | | Global Congestion | Short Congestion | | Direction | Region Size | Region Size | |___________|___________________|___________________| | North| 2x2| 8x8| |___________|___________________|___________________| | South| 2x2| 4x4| |___________|___________________|___________________| | East| 2x2| 4x4| |___________|___________________|___________________| | West| 1x1| 2x2| |___________|___________________|___________________| 2default:defaultZ30-612hpx Q