1 -------------------------------------------------------------------------------
4 -- /___/ \ / Vendor: Xilinx
5 -- \ \ \/ Version : 2.
7
6 -- \ \ Application : 7 Series FPGAs Transceivers Wizard
7 -- / / Filename : uhtr_trigpd_gt.vhd
13 -- Module uHTR_trigPD_GT (a GT Wrapper)
14 -- Generated by Xilinx 7 Series FPGAs Transceivers Wizard
17 -- (c) Copyright 2010-2012 Xilinx, Inc. All rights reserved.
19 -- This file contains confidential and proprietary information
20 -- of Xilinx, Inc. and is protected under U.S. and
21 -- international copyright and other intellectual property
25 -- This disclaimer is not a license and does not grant any
26 -- rights to the materials distributed herewith. Except as
27 -- otherwise provided in a valid license issued to you by
28 -- Xilinx, and to the maximum extent permitted by applicable
29 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS"
AND
30 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
31 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
32 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
33 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
34 -- (2) Xilinx shall
not be liable (whether
in contract
or tort,
35 -- including negligence, or under any other theory of
36 -- liability) for any loss or damage of any kind or nature
37 -- related to, arising under or in connection with these
38 -- materials, including for any direct, or any indirect,
39 -- special, incidental, or consequential loss or damage
40 -- (including loss of data, profits, goodwill, or any type of
41 -- loss or damage suffered as a result of any action brought
42 -- by a third party) even if such damage or loss was
43 -- reasonably foreseeable or Xilinx had been advised of the
44 -- possibility of the same.
46 -- CRITICAL APPLICATIONS
47 -- Xilinx products are not designed or intended to be fail-
48 -- safe, or for use in any application requiring fail-safe
49 -- performance, such as life-support or safety devices or
50 -- systems, Class III medical devices, nuclear facilities,
51 -- applications related to the deployment of airbags, or any
52 -- other applications that could lead to death, personal
53 -- injury, or severe property or environmental damage
54 -- (individually and collectively, "Critical
55 -- Applications"). Customer assumes the sole risk and
56 -- liability of any use of Xilinx products in Critical
57 -- Applications, subject only to applicable laws and
58 -- regulations governing limitations on product liability.
60 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
61 -- PART OF THIS FILE AT ALL TIMES.
65 use ieee.std_logic_1164.
all;
66 use ieee.numeric_std.
all;
68 use UNISIM.VCOMPONENTS.
ALL;
70 --***************************** Entity Declaration ****************************
75 -- Simulation attributes
76 GT_SIM_GTRESET_SPEEDUP : := "FALSE";
-- Set to "true" to speed up sim reset
77 RX_DFE_KL_CFG2_IN : := X"301148AC";
78 PMA_RSV_IN : := x"00018480";
79 PCS_RSVD_ATTR_IN : := X"000000000000"
83 --------------------------------- CPLL Ports -------------------------------
84 CPLLFBCLKLOST_OUT : out ;
86 CPLLLOCKDETCLK_IN : in ;
87 CPLLREFCLKLOST_OUT : out ;
89 -------------------------- Channel - Clocking Ports ------------------------
91 ---------------------------- Channel - DRP Ports --------------------------
92 DRPADDR_IN : in (8 downto 0);
94 DRPDI_IN : in (15 downto 0);
95 DRPDO_OUT : out (15 downto 0);
99 ------------------------------- Clocking Ports -----------------------------
102 ------------------------------ Power-Down Ports ----------------------------
103 RXPD_IN : in (1 downto 0);
104 TXPD_IN : in (1 downto 0);
105 --------------------- RX Initialization and Reset Ports --------------------
107 -------------------------- RX Margin Analysis Ports ------------------------
108 EYESCANDATAERROR_OUT : out ;
109 ------------------------- Receive Ports - CDR Ports ------------------------
110 RXCDRLOCK_OUT : out ;
111 ------------------ Receive Ports - FPGA RX Interface Ports -----------------
114 ------------------ Receive Ports - FPGA RX interface Ports -----------------
115 RXDATA_OUT : out (31 downto 0);
116 ------------------- Receive Ports - Pattern Checker Ports ------------------
117 RXPRBSERR_OUT : out ;
118 RXPRBSSEL_IN : in (2 downto 0);
119 ------------------- Receive Ports - Pattern Checker ports ------------------
120 RXPRBSCNTRESET_IN : in ;
121 ------------------ Receive Ports - RX 8B/10B Decoder Ports -----------------
122 RXDISPERR_OUT : out (3 downto 0);
123 RXNOTINTABLE_OUT : out (3 downto 0);
124 --------------------------- Receive Ports - RX AFE -------------------------
126 ------------------------ Receive Ports - RX AFE Ports ----------------------
128 -------------------- Receive Ports - RX Equailizer Ports -------------------
129 RXLPMHFHOLD_IN : in ;
130 RXLPMLFHOLD_IN : in ;
131 --------------- Receive Ports - RX Fabric Output Control Ports -------------
133 ------------- Receive Ports - RX Initialization and Reset Ports ------------
136 ------------------- Receive Ports - RX8B/10B Decoder Ports -----------------
137 RXCHARISK_OUT : out (3 downto 0);
138 -------------- Receive Ports -RX Initialization and Reset Ports ------------
139 RXRESETDONE_OUT : out ;
140 --------------------- TX Initialization and Reset Ports --------------------
143 ------------------ Transmit Ports - FPGA TX Interface Ports ----------------
146 ------------------ Transmit Ports - TX Data Path interface -----------------
147 TXDATA_IN : in (31 downto 0);
148 ---------------- Transmit Ports - TX Driver and OOB signaling --------------
151 ----------- Transmit Ports - TX Fabric Clock Output Control Ports ----------
153 TXOUTCLKFABRIC_OUT : out ;
154 TXOUTCLKPCS_OUT : out ;
155 --------------------- Transmit Ports - TX Gearbox Ports --------------------
156 TXCHARISK_IN : in (3 downto 0);
157 ------------- Transmit Ports - TX Initialization and Reset Ports -----------
158 TXRESETDONE_OUT : out ;
159 ------------------ Transmit Ports - pattern Generator Ports ----------------
160 TXPRBSSEL_IN : in (2 downto 0)
170 --**************************** Signal Declarations ****************************
172 -- ground and tied_to_vcc_i signals
173 signal tied_to_ground_i : ;
174 signal tied_to_ground_vec_i : (63 downto 0);
175 signal tied_to_vcc_i : ;
179 -- RX Datapath signals
180 signal rxdata_i : (63 downto 0);
181 signal rxchariscomma_float_i : (3 downto 0);
182 signal rxcharisk_float_i : (3 downto 0);
183 signal rxdisperr_float_i : (3 downto 0);
184 signal rxnotintable_float_i : (3 downto 0);
185 signal rxrundisp_float_i : (3 downto 0);
189 -- TX Datapath signals
190 signal txdata_i : (63 downto 0);
191 signal txkerr_float_i : (3 downto 0);
192 signal txrundisp_float_i : (3 downto 0);
193 signal rxstartofseq_float_i : ;
195 --******************************** Main Body of Code***************************
199 --------------------------- Static signal Assignments ---------------------
201 tied_to_ground_i <= '0';
202 tied_to_ground_vec_i(63 downto 0) <= (others => '0');
203 tied_to_vcc_i <= '1';
205 ------------------- GT Datapath byte mapping -----------------
207 -- The GT provides little endian data (first byte received on RXDATA(7 downto 0))
208 RXDATA_OUT <= rxdata_i(31 downto 0);
210 txdata_i <= (tied_to_ground_vec_i(31 downto 0) & TXDATA_IN);
214 ----------------------------- GTXE2 Instance --------------------------
216 gtxe2_i :GTXE2_CHANNEL
220 --_______________________ Simulation-Only Attributes ___________________
222 SIM_RECEIVER_DETECT_PASS =>
("TRUE"
),
223 SIM_RESET_SPEEDUP =>
(GT_SIM_GTRESET_SPEEDUP
),
224 SIM_TX_EIDLE_DRIVE_LEVEL =>
("X"
),
225 SIM_CPLLREFCLK_SEL =>
("001"
),
226 SIM_VERSION =>
("4.0"
),
229 ------------------RX Byte and Word Alignment Attributes---------------
230 ALIGN_COMMA_DOUBLE =>
("FALSE"
),
231 ALIGN_COMMA_ENABLE =>
("1111111111"
),
232 ALIGN_COMMA_WORD =>
(4),
233 ALIGN_MCOMMA_DET =>
("TRUE"
),
234 ALIGN_MCOMMA_VALUE =>
("1010000011"
),
235 ALIGN_PCOMMA_DET =>
("TRUE"
),
236 ALIGN_PCOMMA_VALUE =>
("0101111100"
),
237 SHOW_REALIGN_COMMA =>
("TRUE"
),
238 RXSLIDE_AUTO_WAIT =>
(7),
239 RXSLIDE_MODE =>
("OFF"
),
240 RX_SIG_VALID_DLY =>
(10),
242 ------------------RX 8B/10B Decoder Attributes---------------
243 RX_DISPERR_SEQ_MATCH =>
("TRUE"
),
244 DEC_MCOMMA_DETECT =>
("TRUE"
),
245 DEC_PCOMMA_DETECT =>
("TRUE"
),
246 DEC_VALID_COMMA_ONLY =>
("FALSE"
),
248 ------------------------RX Clock Correction Attributes----------------------
249 CBCC_DATA_SOURCE_SEL =>
("DECODED"
),
250 CLK_COR_SEQ_2_USE =>
("FALSE"
),
251 CLK_COR_KEEP_IDLE =>
("FALSE"
),
252 CLK_COR_MAX_LAT =>
(20),
253 CLK_COR_MIN_LAT =>
(16),
254 CLK_COR_PRECEDENCE =>
("TRUE"
),
255 CLK_COR_REPEAT_WAIT =>
(0),
256 CLK_COR_SEQ_LEN =>
(1),
257 CLK_COR_SEQ_1_ENABLE =>
("1111"
),
258 CLK_COR_SEQ_1_1 =>
("0100000000"
),
259 CLK_COR_SEQ_1_2 =>
("0000000000"
),
260 CLK_COR_SEQ_1_3 =>
("0000000000"
),
261 CLK_COR_SEQ_1_4 =>
("0000000000"
),
262 CLK_CORRECT_USE =>
("FALSE"
),
263 CLK_COR_SEQ_2_ENABLE =>
("1111"
),
264 CLK_COR_SEQ_2_1 =>
("0100000000"
),
265 CLK_COR_SEQ_2_2 =>
("0000000000"
),
266 CLK_COR_SEQ_2_3 =>
("0000000000"
),
267 CLK_COR_SEQ_2_4 =>
("0000000000"
),
269 ------------------------RX Channel Bonding Attributes----------------------
270 CHAN_BOND_KEEP_ALIGN =>
("FALSE"
),
271 CHAN_BOND_MAX_SKEW =>
(1),
272 CHAN_BOND_SEQ_LEN =>
(1),
273 CHAN_BOND_SEQ_1_1 =>
("0000000000"
),
274 CHAN_BOND_SEQ_1_2 =>
("0000000000"
),
275 CHAN_BOND_SEQ_1_3 =>
("0000000000"
),
276 CHAN_BOND_SEQ_1_4 =>
("0000000000"
),
277 CHAN_BOND_SEQ_1_ENABLE =>
("1111"
),
278 CHAN_BOND_SEQ_2_1 =>
("0000000000"
),
279 CHAN_BOND_SEQ_2_2 =>
("0000000000"
),
280 CHAN_BOND_SEQ_2_3 =>
("0000000000"
),
281 CHAN_BOND_SEQ_2_4 =>
("0000000000"
),
282 CHAN_BOND_SEQ_2_ENABLE =>
("1111"
),
283 CHAN_BOND_SEQ_2_USE =>
("FALSE"
),
284 FTS_DESKEW_SEQ_ENABLE =>
("1111"
),
285 FTS_LANE_DESKEW_CFG =>
("1111"
),
286 FTS_LANE_DESKEW_EN =>
("FALSE"
),
288 ---------------------------RX Margin Analysis Attributes----------------------------
289 ES_CONTROL =>
("000000"
),
290 ES_ERRDET_EN =>
("FALSE"
),
291 ES_EYE_SCAN_EN =>
("TRUE"
),
292 ES_HORZ_OFFSET =>
(x"000"
),
293 ES_PMA_CFG =>
("0000000000"
),
294 ES_PRESCALE =>
("00000"
),
295 ES_QUALIFIER =>
(x"00000000000000000000"
),
296 ES_QUAL_MASK =>
(x"00000000000000000000"
),
297 ES_SDATA_MASK =>
(x"00000000000000000000"
),
298 ES_VERT_OFFSET =>
("000000000"
),
300 -------------------------FPGA RX Interface Attributes-------------------------
301 RX_DATA_WIDTH =>
(40),
303 ---------------------------PMA Attributes----------------------------
304 OUTREFCLK_SEL_INV =>
("11"
),
305 PMA_RSV =>
(PMA_RSV_IN
),
306 PMA_RSV2 =>
(x"2040"
),
308 PMA_RSV4 =>
(x"00000000"
),
309 RX_BIAS_CFG =>
("000000000100"
),
310 DMONITOR_CFG =>
(x"000A00"
),
312 RX_CM_TRIM =>
("000"
),
313 RX_DEBUG_CFG =>
("000000000000"
),
314 RX_OS_CFG =>
("0000010000000"
),
315 TERM_RCAL_CFG =>
("10000"
),
316 TERM_RCAL_OVRD =>
('0'
),
317 TST_RSV =>
(x"00000000"
),
320 UCODEER_CLR =>
('0'
),
322 ---------------------------PCI Express Attributes----------------------------
323 PCS_PCIE_EN =>
("FALSE"
),
325 ---------------------------PCS Attributes----------------------------
326 PCS_RSVD_ATTR =>
(PCS_RSVD_ATTR_IN
),
328 -------------RX Buffer Attributes------------
329 RXBUF_ADDR_MODE =>
("FAST"
),
330 RXBUF_EIDLE_HI_CNT =>
("1000"
),
331 RXBUF_EIDLE_LO_CNT =>
("0000"
),
332 RXBUF_EN =>
("TRUE"
),
333 RX_BUFFER_CFG =>
("000000"
),
334 RXBUF_RESET_ON_CB_CHANGE =>
("TRUE"
),
335 RXBUF_RESET_ON_COMMAALIGN =>
("FALSE"
),
336 RXBUF_RESET_ON_EIDLE =>
("FALSE"
),
337 RXBUF_RESET_ON_RATE_CHANGE =>
("TRUE"
),
338 RXBUFRESET_TIME =>
("00001"
),
339 RXBUF_THRESH_OVFLW =>
(61),
340 RXBUF_THRESH_OVRD =>
("FALSE"
),
341 RXBUF_THRESH_UNDFLW =>
(4),
342 RXDLY_CFG =>
(x"001F"
),
343 RXDLY_LCFG =>
(x"030"
),
344 RXDLY_TAP_CFG =>
(x"0000"
),
345 RXPH_CFG =>
(x"000000"
),
346 RXPHDLY_CFG =>
(x"084020"
),
347 RXPH_MONITOR_SEL =>
("00000"
),
348 RX_XCLK_SEL =>
("RXREC"
),
349 RX_DDI_SEL =>
("000000"
),
350 RX_DEFER_RESET_BUF_EN =>
("TRUE"
),
352 -----------------------CDR Attributes-------------------------
354 --For GTX only: Display Port, HBR/RBR- set RXCDR_CFG=72'h0380008bff40200008
356 --For GTX only: Display Port, HBR2 - set RXCDR_CFG=72'h038C008bff20200010
357 RXCDR_CFG =>
(x"03000023ff10200020"
),
358 RXCDR_FR_RESET_ON_EIDLE =>
('0'
),
359 RXCDR_HOLD_DURING_EIDLE =>
('0'
),
360 RXCDR_PH_RESET_ON_EIDLE =>
('0'
),
361 RXCDR_LOCK_CFG =>
("010101"
),
363 -------------------RX Initialization and Reset Attributes-------------------
364 RXCDRFREQRESET_TIME =>
("00001"
),
365 RXCDRPHRESET_TIME =>
("00001"
),
366 RXISCANRESET_TIME =>
("00001"
),
367 RXPCSRESET_TIME =>
("00001"
),
368 RXPMARESET_TIME =>
("00011"
),
370 -------------------RX OOB Signaling Attributes-------------------
371 RXOOB_CFG =>
("0000110"
),
373 -------------------------RX Gearbox Attributes---------------------------
374 RXGEARBOX_EN =>
("FALSE"
),
375 GEARBOX_MODE =>
("000"
),
377 -------------------------PRBS Detection Attribute-----------------------
378 RXPRBS_ERR_LOOPBACK =>
('0'
),
380 -------------Power-Down Attributes----------
381 PD_TRANS_TIME_FROM_P2 =>
(x"03c"
),
382 PD_TRANS_TIME_NONE_P2 =>
(x"3c"
),
383 PD_TRANS_TIME_TO_P2 =>
(x"64"
),
385 -------------RX OOB Signaling Attributes----------
388 SATA_BURST_SEQ_LEN =>
("1111"
),
389 SATA_BURST_VAL =>
("100"
),
390 SATA_EIDLE_VAL =>
("100"
),
391 SATA_MAX_BURST =>
(8),
392 SATA_MAX_INIT =>
(21),
393 SATA_MAX_WAKE =>
(7),
394 SATA_MIN_BURST =>
(4),
395 SATA_MIN_INIT =>
(12),
396 SATA_MIN_WAKE =>
(4),
398 -------------RX Fabric Clock Output Control Attributes----------
399 TRANS_TIME_RATE =>
(x"0E"
),
401 --------------TX Buffer Attributes----------------
402 TXBUF_EN =>
("TRUE"
),
403 TXBUF_RESET_ON_RATE_CHANGE =>
("TRUE"
),
404 TXDLY_CFG =>
(x"001F"
),
405 TXDLY_LCFG =>
(x"030"
),
406 TXDLY_TAP_CFG =>
(x"0000"
),
407 TXPH_CFG =>
(x"0780"
),
408 TXPHDLY_CFG =>
(x"084020"
),
409 TXPH_MONITOR_SEL =>
("00000"
),
410 TX_XCLK_SEL =>
("TXOUT"
),
412 -------------------------FPGA TX Interface Attributes-------------------------
413 TX_DATA_WIDTH =>
(40),
415 -------------------------TX Configurable Driver Attributes-------------------------
416 TX_DEEMPH0 =>
("00000"
),
417 TX_DEEMPH1 =>
("00000"
),
418 TX_EIDLE_ASSERT_DELAY =>
("110"
),
419 TX_EIDLE_DEASSERT_DELAY =>
("100"
),
420 TX_LOOPBACK_DRIVE_HIZ =>
("FALSE"
),
421 TX_MAINCURSOR_SEL =>
('0'
),
422 TX_DRIVE_MODE =>
("DIRECT"
),
423 TX_MARGIN_FULL_0 =>
("1001110"
),
424 TX_MARGIN_FULL_1 =>
("1001001"
),
425 TX_MARGIN_FULL_2 =>
("1000101"
),
426 TX_MARGIN_FULL_3 =>
("1000010"
),
427 TX_MARGIN_FULL_4 =>
("1000000"
),
428 TX_MARGIN_LOW_0 =>
("1000110"
),
429 TX_MARGIN_LOW_1 =>
("1000100"
),
430 TX_MARGIN_LOW_2 =>
("1000010"
),
431 TX_MARGIN_LOW_3 =>
("1000000"
),
432 TX_MARGIN_LOW_4 =>
("1000000"
),
434 -------------------------TX Gearbox Attributes--------------------------
435 TXGEARBOX_EN =>
("FALSE"
),
437 -------------------------TX Initialization and Reset Attributes--------------------------
438 TXPCSRESET_TIME =>
("00001"
),
439 TXPMARESET_TIME =>
("00001"
),
441 -------------------------TX Receiver Detection Attributes--------------------------
442 TX_RXDETECT_CFG =>
(x"1832"
),
443 TX_RXDETECT_REF =>
("100"
),
445 ----------------------------CPLL Attributes----------------------------
446 CPLL_CFG =>
(x"BC07DC"
),
448 CPLL_FBDIV_45 =>
(5),
449 CPLL_INIT_CFG =>
(x"00001E"
),
450 CPLL_LOCK_CFG =>
(x"01E8"
),
451 CPLL_REFCLK_DIV =>
(1),
454 SATA_CPLL_CFG =>
("VCO_3000MHZ"
),
456 --------------RX Initialization and Reset Attributes-------------
457 RXDFELPMRESET_TIME =>
("0001111"
),
459 --------------RX Equalizer Attributes-------------
460 RXLPM_HF_CFG =>
("00000011110000"
),
461 RXLPM_LF_CFG =>
("00000011110000"
),
462 RX_DFE_GAIN_CFG =>
(x"020FEA"
),
463 RX_DFE_H2_CFG =>
("000000000000"
),
464 RX_DFE_H3_CFG =>
("000001000000"
),
465 RX_DFE_H4_CFG =>
("00011110000"
),
466 RX_DFE_H5_CFG =>
("00011100000"
),
467 RX_DFE_KL_CFG =>
("0000011111110"
),
468 RX_DFE_LPM_CFG =>
(x"0904"
),
469 RX_DFE_LPM_HOLD_DURING_EIDLE =>
('0'
),
470 RX_DFE_UT_CFG =>
("10001111000000000"
),
471 RX_DFE_VP_CFG =>
("00011111100000011"
),
473 -------------------------Power-Down Attributes-------------------------
474 RX_CLKMUX_PD =>
('1'
),
475 TX_CLKMUX_PD =>
('1'
),
477 -------------------------FPGA RX Interface Attribute-------------------------
478 RX_INT_DATAWIDTH =>
(1),
480 -------------------------FPGA TX Interface Attribute-------------------------
481 TX_INT_DATAWIDTH =>
(1),
483 ------------------TX Configurable Driver Attributes---------------
484 TX_QPI_STATUS_EN =>
('0'
),
486 -------------------------RX Equalizer Attributes--------------------------
487 RX_DFE_KL_CFG2 =>
(RX_DFE_KL_CFG2_IN
),
488 RX_DFE_XYD_CFG =>
("0000000000000"
),
490 -------------------------TX Configurable Driver Attributes--------------------------
491 TX_PREDRIVER_MODE =>
('0'
)
497 --------------------------------- CPLL Ports -------------------------------
498 CPLLFBCLKLOST => CPLLFBCLKLOST_OUT,
499 CPLLLOCK => CPLLLOCK_OUT,
500 CPLLLOCKDETCLK => CPLLLOCKDETCLK_IN,
501 CPLLLOCKEN => tied_to_vcc_i,
502 CPLLPD => tied_to_ground_i,
503 CPLLREFCLKLOST => CPLLREFCLKLOST_OUT,
504 CPLLREFCLKSEL => "
001",
505 CPLLRESET => CPLLRESET_IN,
506 GTRSVD => "
0000000000000000",
507 PCSRSVDIN => "
0000000000000000",
508 PCSRSVDIN2 => "
00000",
509 PMARSVDIN => "
00000",
510 PMARSVDIN2 => "
00000",
511 TSTIN => "
11111111111111111111" ,
513 ---------------------------------- Channel ---------------------------------
515 -------------------------- Channel - Clocking Ports ------------------------
516 GTGREFCLK => tied_to_ground_i,
517 GTNORTHREFCLK0 => tied_to_ground_i,
518 GTNORTHREFCLK1 => tied_to_ground_i,
519 GTREFCLK0 => GTREFCLK0_IN,
520 GTREFCLK1 => tied_to_ground_i,
521 GTSOUTHREFCLK0 => tied_to_ground_i,
522 GTSOUTHREFCLK1 => tied_to_ground_i,
523 ---------------------------- Channel - DRP Ports --------------------------
524 DRPADDR => DRPADDR_IN,
525 DRPCLK => DRPCLK_IN ,
529 DRPRDY => DRPRDY_OUT,
531 ------------------------------- Clocking Ports -----------------------------
532 GTREFCLKMONITOR =>
open,
533 QPLLCLK => QPLLCLK_IN,
534 QPLLREFCLK => QPLLREFCLK_IN,
537 --------------------------- Digital Monitor Ports --------------------------
539 ----------------- FPGA TX Interface Datapath Configuration ----------------
540 TX8B10BEN => tied_to_vcc_i,
541 ------------------------------- Loopback Ports -----------------------------
542 LOOPBACK => tied_to_ground_vec_i
(2 downto 0),
543 ----------------------------- PCI Express Ports ----------------------------
545 RXRATE => tied_to_ground_vec_i
(2 downto 0),
547 ------------------------------ Power-Down Ports ----------------------------
550 -------------------------- RX 8B/10B Decoder Ports -------------------------
551 SETERRSTATUS => tied_to_ground_i,
552 --------------------- RX Initialization and Reset Ports --------------------
553 EYESCANRESET => tied_to_ground_i,
554 RXUSERRDY => RXUSERRDY_IN,
555 -------------------------- RX Margin Analysis Ports ------------------------
556 EYESCANDATAERROR => EYESCANDATAERROR_OUT ,
557 EYESCANMODE => tied_to_ground_i,
558 EYESCANTRIGGER => tied_to_ground_i,
559 ------------------------- Receive Ports - CDR Ports ------------------------
560 RXCDRFREQRESET => tied_to_ground_i,
561 RXCDRHOLD => tied_to_ground_i,
562 RXCDRLOCK => RXCDRLOCK_OUT,
563 RXCDROVRDEN => tied_to_ground_i,
564 RXCDRRESET => tied_to_ground_i,
565 RXCDRRESETRSV => tied_to_ground_i,
566 ------------------- Receive Ports - Clock Correction Ports -----------------
568 ---------- Receive Ports - FPGA RX Interface Datapath Configuration --------
569 RX8B10BEN => tied_to_vcc_i,
570 ------------------ Receive Ports - FPGA RX Interface Ports -----------------
571 RXUSRCLK => RXUSRCLK_IN,
572 RXUSRCLK2 => RXUSRCLK2_IN,
573 ------------------ Receive Ports - FPGA RX interface Ports -----------------
575 ------------------- Receive Ports - Pattern Checker Ports ------------------
576 RXPRBSERR => RXPRBSERR_OUT,
577 RXPRBSSEL => RXPRBSSEL_IN,
578 ------------------- Receive Ports - Pattern Checker ports ------------------
579 RXPRBSCNTRESET => RXPRBSCNTRESET_IN,
580 -------------------- Receive Ports - RX Equalizer Ports -------------------
581 RXDFEXYDEN => tied_to_vcc_i,
582 RXDFEXYDHOLD => tied_to_ground_i,
583 RXDFEXYDOVRDEN => tied_to_ground_i,
584 ------------------ Receive Ports - RX 8B/10B Decoder Ports -----------------
585 RXDISPERR
(7 downto 4) => rxdisperr_float_i,
586 RXDISPERR
(3 downto 0) => RXDISPERR_OUT,
587 RXNOTINTABLE
(7 downto 4) => rxnotintable_float_i,
588 RXNOTINTABLE
(3 downto 0) => RXNOTINTABLE_OUT,
589 --------------------------- Receive Ports - RX AFE -------------------------
590 GTXRXP => GTXRXP_IN ,
591 ------------------------ Receive Ports - RX AFE Ports ----------------------
592 GTXRXN => GTXRXN_IN ,
593 ------------------- Receive Ports - RX Buffer Bypass Ports -----------------
594 RXBUFRESET => tied_to_ground_i,
596 RXDDIEN => tied_to_ground_i,
597 RXDLYBYPASS => tied_to_vcc_i,
598 RXDLYEN => tied_to_ground_i,
599 RXDLYOVRDEN => tied_to_ground_i,
600 RXDLYSRESET => tied_to_ground_i,
601 RXDLYSRESETDONE =>
open,
602 RXPHALIGN => tied_to_ground_i,
603 RXPHALIGNDONE =>
open,
604 RXPHALIGNEN => tied_to_ground_i,
605 RXPHDLYPD => tied_to_ground_i,
606 RXPHDLYRESET => tied_to_ground_i,
608 RXPHOVRDEN => tied_to_ground_i,
609 RXPHSLIPMONITOR =>
open,
611 -------------- Receive Ports - RX Byte and Word Alignment Ports ------------
612 RXBYTEISALIGNED =>
open,
613 RXBYTEREALIGN =>
open,
615 RXCOMMADETEN => tied_to_vcc_i,
616 RXMCOMMAALIGNEN => tied_to_vcc_i,
617 RXPCOMMAALIGNEN => tied_to_vcc_i,
618 ------------------ Receive Ports - RX Channel Bonding Ports ----------------
619 RXCHANBONDSEQ =>
open,
620 RXCHBONDEN => tied_to_ground_i,
621 RXCHBONDLEVEL => tied_to_ground_vec_i
(2 downto 0),
622 RXCHBONDMASTER => tied_to_ground_i,
624 RXCHBONDSLAVE => tied_to_ground_i,
625 ----------------- Receive Ports - RX Channel Bonding Ports ----------------
626 RXCHANISALIGNED =>
open,
627 RXCHANREALIGN =>
open,
628 -------------------- Receive Ports - RX Equailizer Ports -------------------
629 RXLPMHFHOLD => RXLPMHFHOLD_IN,
630 RXLPMHFOVRDEN => tied_to_ground_i,
631 RXLPMLFHOLD => RXLPMLFHOLD_IN,
632 --------------------- Receive Ports - RX Equalizer Ports -------------------
633 RXDFEAGCHOLD => tied_to_ground_i,
634 RXDFEAGCOVRDEN => tied_to_ground_i,
635 RXDFECM1EN => tied_to_ground_i,
636 RXDFELFHOLD => tied_to_ground_i,
637 RXDFELFOVRDEN => tied_to_ground_i,
638 RXDFELPMRESET => tied_to_ground_i,
639 RXDFETAP2HOLD => tied_to_ground_i,
640 RXDFETAP2OVRDEN => tied_to_ground_i,
641 RXDFETAP3HOLD => tied_to_ground_i,
642 RXDFETAP3OVRDEN => tied_to_ground_i,
643 RXDFETAP4HOLD => tied_to_ground_i,
644 RXDFETAP4OVRDEN => tied_to_ground_i,
645 RXDFETAP5HOLD => tied_to_ground_i,
646 RXDFETAP5OVRDEN => tied_to_ground_i,
647 RXDFEUTHOLD => tied_to_ground_i,
648 RXDFEUTOVRDEN => tied_to_ground_i,
649 RXDFEVPHOLD => tied_to_ground_i,
650 RXDFEVPOVRDEN => tied_to_ground_i,
651 RXDFEVSEN => tied_to_ground_i,
652 RXLPMLFKLOVRDEN => tied_to_ground_i,
653 RXMONITOROUT =>
open,
654 RXMONITORSEL => "
00",
655 RXOSHOLD => tied_to_ground_i,
656 RXOSOVRDEN => tied_to_ground_i,
657 ------------ Receive Ports - RX Fabric ClocK Output Control Ports ----------
659 --------------- Receive Ports - RX Fabric Output Control Ports -------------
660 RXOUTCLK => RXOUTCLK_OUT,
661 RXOUTCLKFABRIC =>
open,
663 RXOUTCLKSEL => "
010",
664 ---------------------- Receive Ports - RX Gearbox Ports --------------------
667 RXHEADERVALID =>
open,
668 RXSTARTOFSEQ =>
open,
669 --------------------- Receive Ports - RX Gearbox Ports --------------------
670 RXGEARBOXSLIP => tied_to_ground_i,
671 ------------- Receive Ports - RX Initialization and Reset Ports ------------
672 GTRXRESET => GTRXRESET_IN,
673 RXOOBRESET => tied_to_ground_i,
674 RXPCSRESET => tied_to_ground_i,
675 RXPMARESET => RXPMARESET_IN,
676 ------------------ Receive Ports - RX Margin Analysis ports ----------------
677 RXLPMEN => tied_to_vcc_i,
678 ------------------- Receive Ports - RX OOB Signaling ports -----------------
680 RXCOMWAKEDET =>
open,
681 ------------------ Receive Ports - RX OOB Signaling ports -----------------
682 RXCOMINITDET =>
open,
683 ------------------ Receive Ports - RX OOB signalling Ports -----------------
685 RXELECIDLEMODE => "
11",
686 ----------------- Receive Ports - RX Polarity Control Ports ----------------
687 RXPOLARITY => tied_to_ground_i,
688 ---------------------- Receive Ports - RX gearbox ports --------------------
689 RXSLIDE => tied_to_ground_i,
690 ------------------- Receive Ports - RX8B/10B Decoder Ports -----------------
691 RXCHARISCOMMA =>
open,
692 RXCHARISK
(7 downto 4) => rxcharisk_float_i,
693 RXCHARISK
(3 downto 0) => RXCHARISK_OUT,
694 ------------------ Receive Ports - Rx Channel Bonding Ports ----------------
695 RXCHBONDI => "
00000",
696 -------------- Receive Ports -RX Initialization and Reset Ports ------------
697 RXRESETDONE => RXRESETDONE_OUT,
698 -------------------------------- Rx AFE Ports ------------------------------
699 RXQPIEN => tied_to_ground_i,
702 --------------------------- TX Buffer Bypass Ports -------------------------
703 TXPHDLYTSTCLK => tied_to_ground_i,
704 ------------------------ TX Configurable Driver Ports ----------------------
705 TXPOSTCURSOR => "
00000",
706 TXPOSTCURSORINV => tied_to_ground_i,
707 TXPRECURSOR => tied_to_ground_vec_i
(4 downto 0),
708 TXPRECURSORINV => tied_to_ground_i,
709 TXQPIBIASEN => tied_to_ground_i,
710 TXQPISTRONGPDOWN => tied_to_ground_i,
711 TXQPIWEAKPUP => tied_to_ground_i,
712 --------------------- TX Initialization and Reset Ports --------------------
713 CFGRESET => tied_to_ground_i,
714 GTTXRESET => GTTXRESET_IN,
716 TXUSERRDY => TXUSERRDY_IN,
717 ---------------------- Transceiver Reset Mode Operation --------------------
718 GTRESETSEL => tied_to_ground_i,
719 RESETOVRD => tied_to_ground_i,
720 ---------------- Transmit Ports - 8b10b Encoder Control Ports --------------
721 TXCHARDISPMODE => tied_to_ground_vec_i
(7 downto 0),
722 TXCHARDISPVAL => tied_to_ground_vec_i
(7 downto 0),
723 ------------------ Transmit Ports - FPGA TX Interface Ports ----------------
724 TXUSRCLK => TXUSRCLK_IN,
725 TXUSRCLK2 => TXUSRCLK2_IN,
726 --------------------- Transmit Ports - PCI Express Ports -------------------
727 TXELECIDLE => tied_to_ground_i,
728 TXMARGIN => tied_to_ground_vec_i
(2 downto 0),
729 TXRATE => tied_to_ground_vec_i
(2 downto 0),
730 TXSWING => tied_to_ground_i,
731 ------------------ Transmit Ports - Pattern Generator Ports ----------------
732 TXPRBSFORCEERR => tied_to_ground_i,
733 ------------------ Transmit Ports - TX Buffer Bypass Ports -----------------
734 TXDLYBYPASS => tied_to_vcc_i,
735 TXDLYEN => tied_to_ground_i,
736 TXDLYHOLD => tied_to_ground_i,
737 TXDLYOVRDEN => tied_to_ground_i,
738 TXDLYSRESET => tied_to_ground_i,
739 TXDLYSRESETDONE =>
open,
740 TXDLYUPDOWN => tied_to_ground_i,
741 TXPHALIGN => tied_to_ground_i,
742 TXPHALIGNDONE =>
open,
743 TXPHALIGNEN => tied_to_ground_i,
744 TXPHDLYPD => tied_to_ground_i,
745 TXPHDLYRESET => tied_to_ground_i,
746 TXPHINIT => tied_to_ground_i,
747 TXPHINITDONE =>
open,
748 TXPHOVRDEN => tied_to_ground_i,
749 ---------------------- Transmit Ports - TX Buffer Ports --------------------
751 --------------- Transmit Ports - TX Configurable Driver Ports --------------
752 TXBUFDIFFCTRL => "
100",
753 TXDEEMPH => tied_to_ground_i,
754 TXDIFFCTRL => "
1000",
755 TXDIFFPD => tied_to_ground_i,
756 TXINHIBIT => tied_to_ground_i,
757 TXMAINCURSOR => "
0000000" ,
758 TXPISOPD => tied_to_ground_i,
759 ------------------ Transmit Ports - TX Data Path interface -----------------
761 ---------------- Transmit Ports - TX Driver and OOB signaling --------------
762 GTXTXN => GTXTXN_OUT,
763 GTXTXP => GTXTXP_OUT,
764 ----------- Transmit Ports - TX Fabric Clock Output Control Ports ----------
765 TXOUTCLK => TXOUTCLK_OUT,
766 TXOUTCLKFABRIC => TXOUTCLKFABRIC_OUT ,
767 TXOUTCLKPCS => TXOUTCLKPCS_OUT,
768 TXOUTCLKSEL => "
010",
770 --------------------- Transmit Ports - TX Gearbox Ports --------------------
771 TXCHARISK
(7 downto 4) => tied_to_ground_vec_i
(3 downto 0),
772 TXCHARISK
(3 downto 0) => TXCHARISK_IN,
773 TXGEARBOXREADY =>
open,
774 TXHEADER => tied_to_ground_vec_i
(2 downto 0),
775 TXSEQUENCE => tied_to_ground_vec_i
(6 downto 0),
776 TXSTARTSEQ => tied_to_ground_i,
777 ------------- Transmit Ports - TX Initialization and Reset Ports -----------
778 TXPCSRESET => tied_to_ground_i,
779 TXPMARESET => tied_to_ground_i,
780 TXRESETDONE => TXRESETDONE_OUT,
781 ------------------ Transmit Ports - TX OOB signalling Ports ----------------
783 TXCOMINIT => tied_to_ground_i,
784 TXCOMSAS => tied_to_ground_i,
785 TXCOMWAKE => tied_to_ground_i,
786 TXPDELECIDLEMODE => tied_to_ground_i,
787 ----------------- Transmit Ports - TX Polarity Control Ports ---------------
788 TXPOLARITY => tied_to_ground_i,
789 --------------- Transmit Ports - TX Receiver Detection Ports --------------
790 TXDETECTRX => tied_to_ground_i,
791 ------------------ Transmit Ports - TX8b/10b Encoder Ports -----------------
792 TX8B10BBYPASS => tied_to_ground_vec_i
(7 downto 0),
793 ------------------ Transmit Ports - pattern Generator Ports ----------------
794 TXPRBSSEL => TXPRBSSEL_IN,
795 ----------------------- Tx Configurable Driver Ports ----------------------