AMC13
Firmwares for the different applications of the AMC13 uTCA board made at Boston University
 All Classes Variables
ipbus_trans_decl.vhd
1 -- ipbus_trans_decl
2 --
3 -- Defines the types for interface between ipbus transactor and
4 -- the memory buffers which hold input and output packets
5 --
6 -- Dave Newbold, September 2012
7 
8 
9 library IEEE;
10 use IEEE.STD_LOGIC_1164.all;
11 
12 package ipbus_trans_decl is
13 
14  constant addr_width: positive := 12;
15 
16  -- Signals from buffer to transactor
17 
18  type ipbus_trans_in is
19  record
20  pkt_rdy: std_logic;
21  rdata: std_logic_vector(31 downto 0);
22  busy: std_logic;
23  end record;
24 
25  type ipbus_trans_in_array is array(natural range <>) of ipbus_trans_in;
26 
27  -- Signals from transactor to buffer
28 
29  type ipbus_trans_out is
30  record
31  raddr: std_logic_vector(addr_width - 1 downto 0);
32  pkt_done: std_logic;
33  we: std_logic;
34  waddr: std_logic_vector(addr_width - 1 downto 0);
35  wdata: std_logic_vector(31 downto 0);
36  end record;
37 
38  type ipbus_trans_out_array is array(natural range <>) of ipbus_trans_out;
39 
40 end ipbus_trans_decl;