AMC13
Firmwares for the different applications of the AMC13 uTCA board made at Boston University
 All Classes Variables
ipbus_package.vhd
1 library IEEE;
2 use IEEE.STD_LOGIC_1164.all;
3 
4 package ipbus is
5 
6 -- The signals going from master to slaves
7  type ipb_wbus is
8  record
9  ipb_addr: std_logic_vector(31 downto 0);
10  ipb_wdata: std_logic_vector(31 downto 0);
11  ipb_strobe: std_logic;
12  ipb_write: std_logic;
13  end record;
14 
15  type ipb_wbus_array is array(natural range <>) of ipb_wbus;
16 
17 -- The signals going from slaves to master
18  type ipb_rbus is
19  record
20  ipb_rdata: std_logic_vector(31 downto 0);
21  ipb_ack: std_logic;
22  ipb_err: std_logic;
23  end record;
24 
25  type ipb_rbus_array is array(natural range <>) of ipb_rbus;
26 
27  constant IPB_RBUS_NULL: ipb_rbus := ((others => '0'), '0', '0');
28  constant IPB_WBUS_NULL: ipb_wbus := ((others => '0'), (others => '0'), '0', '0');
29 
30 -- For top-level generics
31 
32  type ipb_mac_cfg is (EXTERNAL, INTERNAL);
33  type ipb_ip_cfg is (EXTERNAL, INTERNAL);
34 
35 end ipbus;
36