AMC13
Firmwares for the different applications of the AMC13 uTCA board made at Boston University
 All Classes Variables
ila64x4096.vhd
1 -------------------------------------------------------------------------------
2 -- Copyright (c) 2011 Xilinx, Inc.
3 -- All Rights Reserved
4 -------------------------------------------------------------------------------
5 -- ____ ____
6 -- / /\/ /
7 -- /___/ \ / Vendor : Xilinx
8 -- \ \ \/ Version : 13.2
9 -- \ \ Application: XILINX CORE Generator
10 -- / / Filename : ila64x4096.vhd
11 -- /___/ /\ Timestamp : Wed Oct 05 11:23:49 Eastern Daylight Time 2011
12 -- \ \ / \
13 -- \___\/\___\
14 --
15 -- Design Name: VHDL Synthesis Wrapper
16 -------------------------------------------------------------------------------
17 -- This wrapper is used to integrate with Project Navigator and PlanAhead
18 
19 LIBRARY ieee;
20 USE ieee.std_logic_1164.ALL;
21 ENTITY ila64x4096 IS
22  port (
23  CONTROL: inout std_logic_vector(35 downto 0);
24  CLK: in std_logic;
25  DATA: in std_logic_vector(63 downto 0);
26  TRIG0: in std_logic_vector(7 downto 0));
27 END ila64x4096;
28 
29 ARCHITECTURE ila64x4096_a OF ila64x4096 IS
30 BEGIN
31 
32 END ila64x4096_a;