#----------------------------------------------------------- # Vivado v2019.2 (64-bit) # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 # Start of session at: Wed Dec 18 13:45:10 2019 # Process ID: 17064 # Current directory: D:/ngFECv0x43d # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent6852 D:\ngFECv0x43d\ngFEC_new.xpr # Log file: D:/ngFECv0x43d/vivado.log # Journal file: D:/ngFECv0x43d\vivado.jou #----------------------------------------------------------- start_gui open_project D:/APOLLO/CM_Kintex_FW-master/proj/top.xpr update_compile_order -fileset sources_1 open_project -read_only D:/ngFECv0x43d/ngFEC_new.xpr update_compile_order -fileset sources_1 current_project top close_project open_project -read_only D:/vproject/ngFEC/fc7_ngFEC.xpr close_project open_project -read_only D:/tmp/fc7_ngFEC/fc7_ngFEC.xpr update_compile_order -fileset sources_1 current_project ngFEC_new current_project fc7_ngFEC current_project ngFEC_new current_project fc7_ngFEC current_project ngFEC_new current_project fc7_ngFEC current_project ngFEC_new current_project fc7_ngFEC current_project ngFEC_new current_project fc7_ngFEC current_project ngFEC_new current_project fc7_ngFEC close_project