set_property PACKAGE_PIN D9 [get_ports {fmc_sfp_rx_n[4]}] set_property PACKAGE_PIN D10 [get_ports {fmc_sfp_rx_p[4]}] set_property PACKAGE_PIN B9 [get_ports {fmc_sfp_tx_n[4]}] set_property PACKAGE_PIN B10 [get_ports {fmc_sfp_tx_p[4]}] set_property PACKAGE_PIN C11 [get_ports {fmc_sfp_rx_n[3]}] set_property PACKAGE_PIN C12 [get_ports {fmc_sfp_rx_p[3]}] set_property PACKAGE_PIN A11 [get_ports {fmc_sfp_tx_n[3]}] set_property PACKAGE_PIN A12 [get_ports {fmc_sfp_tx_p[3]}] set_property PACKAGE_PIN F9 [get_ports {fmc_sfp_rx_n[2]}] set_property PACKAGE_PIN F10 [get_ports {fmc_sfp_rx_p[2]}] set_property PACKAGE_PIN C7 [get_ports {fmc_sfp_tx_n[2]}] set_property PACKAGE_PIN C8 [get_ports {fmc_sfp_tx_p[2]}] set_property PACKAGE_PIN E3 [get_ports {fmc_sfp_rx_n[1]}] set_property PACKAGE_PIN E4 [get_ports {fmc_sfp_rx_p[1]}] set_property PACKAGE_PIN B1 [get_ports {fmc_sfp_tx_n[1]}] set_property PACKAGE_PIN B2 [get_ports {fmc_sfp_tx_p[1]}] set_property PACKAGE_PIN AJ3 [get_ports {fmc_sfp_rx_n[8]}] set_property PACKAGE_PIN AJ4 [get_ports {fmc_sfp_rx_p[8]}] set_property PACKAGE_PIN AM1 [get_ports {fmc_sfp_tx_n[8]}] set_property PACKAGE_PIN AM2 [get_ports {fmc_sfp_tx_p[8]}] set_property PACKAGE_PIN AK5 [get_ports {fmc_sfp_rx_n[7]}] set_property PACKAGE_PIN AK6 [get_ports {fmc_sfp_rx_p[7]}] set_property PACKAGE_PIN AP1 [get_ports {fmc_sfp_tx_n[7]}] set_property PACKAGE_PIN AP2 [get_ports {fmc_sfp_tx_p[7]}] set_property PACKAGE_PIN AL3 [get_ports {fmc_sfp_rx_n[6]}] set_property PACKAGE_PIN AL4 [get_ports {fmc_sfp_rx_p[6]}] set_property PACKAGE_PIN AN3 [get_ports {fmc_sfp_tx_n[6]}] set_property PACKAGE_PIN AN4 [get_ports {fmc_sfp_tx_p[6]}] set_property PACKAGE_PIN AM5 [get_ports {fmc_sfp_rx_n[5]}] set_property PACKAGE_PIN AM6 [get_ports {fmc_sfp_rx_p[5]}] set_property PACKAGE_PIN AP5 [get_ports {fmc_sfp_tx_n[5]}] set_property PACKAGE_PIN AP6 [get_ports {fmc_sfp_tx_p[5]}] set_property PACKAGE_PIN H5 [get_ports {fmc_sfp_rx_n[12]}] set_property PACKAGE_PIN H6 [get_ports {fmc_sfp_rx_p[12]}] set_property PACKAGE_PIN F1 [get_ports {fmc_sfp_tx_n[12]}] set_property PACKAGE_PIN F2 [get_ports {fmc_sfp_tx_p[12]}] set_property PACKAGE_PIN L3 [get_ports {fmc_sfp_rx_n[11]}] set_property PACKAGE_PIN L4 [get_ports {fmc_sfp_rx_p[11]}] set_property PACKAGE_PIN M1 [get_ports {fmc_sfp_tx_n[11]}] set_property PACKAGE_PIN M2 [get_ports {fmc_sfp_tx_p[11]}] set_property PACKAGE_PIN K5 [get_ports {fmc_sfp_rx_n[10]}] set_property PACKAGE_PIN K6 [get_ports {fmc_sfp_rx_p[10]}] set_property PACKAGE_PIN K1 [get_ports {fmc_sfp_tx_n[10]}] set_property PACKAGE_PIN K2 [get_ports {fmc_sfp_tx_p[10]}] set_property PACKAGE_PIN G3 [get_ports {fmc_sfp_rx_n[9]}] set_property PACKAGE_PIN G4 [get_ports {fmc_sfp_rx_p[9]}] set_property PACKAGE_PIN D1 [get_ports {fmc_sfp_tx_n[9]}] set_property PACKAGE_PIN D2 [get_ports {fmc_sfp_tx_p[9]}]