Command: %s 53* vivadotcl2\ Hsynth_design -top ngFEC_mgt -part xc7k420tffg1156-2 -mode out_of_context2default:defaultZ4-113hpx : Starting synth_design 149* vivadotclZ4-321hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2 Synthesis2default:default2 xc7k420t2default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2 Synthesis2default:default2 xc7k420t2default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2020.012default:defaultZ17-1540hpx  %s *synth2 wStarting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 469.066 ; gain = 96.434 2default:defaulthp x   synthesizing module '%s'638*oasys2 ngFEC_mgt2default:default2j Td:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt.vhd2default:default2 1792default:default8@Z8-638hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2" ngFEC_mgt_init2default:default2m Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 752default:default2 U02default:default2" ngFEC_mgt_init2default:default2j Td:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt.vhd2default:default2 3112default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2" ngFEC_mgt_init2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 1942default:default8@Z8-638hpx r %s *synth2Z F Parameter EXAMPLE_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter EXAMPLE_USE_CHIPSCOPE bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2& ngFEC_mgt_multi_gt2default:default2q ]d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_multi_gt.vhd2default:default2 732default:default2 ngFEC_mgt_i2default:default2& ngFEC_mgt_multi_gt2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 6012default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2& ngFEC_mgt_multi_gt2default:default2s ]d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_multi_gt.vhd2default:default2 2042default:default8@Z8-638hpx r %s *synth2Z F Parameter WRAPPER_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  y %s *synth2a M Parameter RX_DFE_KL_CFG2_IN bound to: 32'b00110000000100010100100010101100 2default:defaulthp x  ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter PMA_RSV_IN bound to: 32'b00000000000000011000010010000000 2default:defaulthp x  m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter PMA_RSV_IN bound to: 99456 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b010 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ngFEC_mgt_GT2default:default2k Wd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_gt.vhd2default:default2 722default:default2# gt0_ngFEC_mgt_i2default:default2 ngFEC_mgt_GT2default:default2s ]d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_multi_gt.vhd2default:default2 4032default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ngFEC_mgt_GT2default:default2m Wd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_gt.vhd2default:default2 1992default:default8@Z8-638hpx m %s *synth2U A Parameter GT_SIM_GTRESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  n %s *synth2V B Parameter RX_DFE_KL_CFG2_IN bound to: 806439084 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b010 2default:defaulthp x  c %s *synth2K 7 Parameter PMA_RSV_IN bound to: 99456 - type: integer 2default:defaulthp x   %s *synth2p \ Parameter PCS_RSVD_ATTR_IN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  j %s *synth2R > Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111 2default:defaulthp x  e %s *synth2M 9 Parameter ALIGN_COMMA_WORD bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_MCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 2default:defaulthp x  g %s *synth2O ; Parameter ALIGN_PCOMMA_DET bound to: TRUE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 2default:defaulthp x  n %s *synth2V B Parameter CBCC_DATA_SOURCE_SEL bound to: ENCODED - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CHAN_BOND_MAX_SKEW bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  c %s *synth2K 7 Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  k %s *synth2S ? Parameter CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  f %s *synth2N : Parameter CHAN_BOND_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter CLK_CORRECT_USE bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_KEEP_IDLE bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter CLK_COR_MAX_LAT bound to: 10 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_MIN_LAT bound to: 8 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_1 bound to: 10'b0100000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_1 bound to: 10'b0100000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_2 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000 2default:defaulthp x  a %s *synth2I 5 Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 2default:defaulthp x  i %s *synth2Q = Parameter CLK_COR_SEQ_2_USE bound to: FALSE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter CLK_COR_SEQ_LEN bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter CPLL_CFG bound to: 24'b101111000000011111011100 2default:defaulthp x  _ %s *synth2G 3 Parameter CPLL_FBDIV bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CPLL_FBDIV_45 bound to: 5 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CPLL_INIT_CFG bound to: 24'b000000000000000000011110 2default:defaulthp x  e %s *synth2M 9 Parameter CPLL_LOCK_CFG bound to: 16'b0000000111101000 2default:defaulthp x  d %s *synth2L 8 Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter DEC_MCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter DEC_PCOMMA_DETECT bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DEC_VALID_COMMA_ONLY bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter DMONITOR_CFG bound to: 24'b000000000000101000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_CONTROL bound to: 6'b000000 2default:defaulthp x  d %s *synth2L 8 Parameter ES_ERRDET_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter ES_EYE_SCAN_EN bound to: TRUE - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter ES_HORZ_OFFSET bound to: 12'b000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter ES_PMA_CFG bound to: 10'b0000000000 2default:defaulthp x  W %s *synth2? + Parameter ES_PRESCALE bound to: 5'b00000 2default:defaulthp x   %s *synth2 x Parameter ES_QUALIFIER bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 x Parameter ES_QUAL_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x   %s *synth2 y Parameter ES_SDATA_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 2default:defaulthp x  ^ %s *synth2F 2 Parameter ES_VERT_OFFSET bound to: 9'b000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 2default:defaulthp x  ^ %s *synth2F 2 Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111 2default:defaulthp x  j %s *synth2R > Parameter FTS_LANE_DESKEW_EN bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter GEARBOX_MODE bound to: 3'b000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_CPLLLOCKDETCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_DRPCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_GTGREFCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_RXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  a %s *synth2I 5 Parameter IS_TXPHDLYTSTCLK_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_TXUSRCLK2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_TXUSRCLK_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter OUTREFCLK_SEL_INV bound to: 2'b11 2default:defaulthp x  c %s *synth2K 7 Parameter PCS_PCIE_EN bound to: FALSE - type: string 2default:defaulthp x   %s *synth2m Y Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  i %s *synth2Q = Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 2default:defaulthp x  d %s *synth2L 8 Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00111100 2default:defaulthp x  b %s *synth2J 6 Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV bound to: 99456 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter PMA_RSV2 bound to: 16'b0010000001010000 2default:defaulthp x  Q %s *synth29 % Parameter PMA_RSV3 bound to: 2'b00 2default:defaulthp x  p %s *synth2X D Parameter PMA_RSV4 bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  [ %s *synth2C / Parameter RXBUFRESET_TIME bound to: 5'b00001 2default:defaulthp x  f %s *synth2N : Parameter RXBUF_ADDR_MODE bound to: FAST - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b1000 2default:defaulthp x  ] %s *synth2E 1 Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000 2default:defaulthp x  ` %s *synth2H 4 Parameter RXBUF_EN bound to: FALSE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_OVFLW bound to: 61 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string 2default:defaulthp x  h %s *synth2P < Parameter RXBUF_THRESH_UNDFLW bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDRFREQRESET_TIME bound to: 5'b00001 2default:defaulthp x  ] %s *synth2E 1 Parameter RXCDRPHRESET_TIME bound to: 5'b00001 2default:defaulthp x   %s *synth2 m Parameter RXCDR_CFG bound to: 72'b000000110000000000000000001000111111111101000000001000000000000000100000 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter RXCDR_LOCK_CFG bound to: 6'b010101 2default:defaulthp x  _ %s *synth2G 3 Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 2default:defaulthp x  ` %s *synth2H 4 Parameter RXDFELPMRESET_TIME bound to: 7'b0001111 2default:defaulthp x  a %s *synth2I 5 Parameter RXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter RXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter RXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter RXISCANRESET_TIME bound to: 5'b00001 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_HF_CFG bound to: 14'b00000011110000 2default:defaulthp x  b %s *synth2J 6 Parameter RXLPM_LF_CFG bound to: 14'b00000011110000 2default:defaulthp x  W %s *synth2? + Parameter RXOOB_CFG bound to: 7'b0000110 2default:defaulthp x  ^ %s *synth2F 2 Parameter RXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter RXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter RXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  h %s *synth2P < Parameter RXPH_CFG bound to: 24'b000000000000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter RXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter RXPMARESET_TIME bound to: 5'b00011 2default:defaulthp x  [ %s *synth2C / Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter RXSLIDE_MODE bound to: PCS - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter RX_BIAS_CFG bound to: 12'b000000000100 2default:defaulthp x  Z %s *synth2B . Parameter RX_BUFFER_CFG bound to: 6'b000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_CLK25_DIV bound to: 5 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter RX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  R %s *synth2: & Parameter RX_CM_SEL bound to: 2'b11 2default:defaulthp x  T %s *synth2< ( Parameter RX_CM_TRIM bound to: 3'b010 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter RX_DDI_SEL bound to: 6'b000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DEBUG_CFG bound to: 12'b000000000000 2default:defaulthp x  l %s *synth2T @ Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string 2default:defaulthp x  o %s *synth2W C Parameter RX_DFE_GAIN_CFG bound to: 24'b000000100000111111101010 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H2_CFG bound to: 12'b000000000000 2default:defaulthp x  a %s *synth2I 5 Parameter RX_DFE_H3_CFG bound to: 12'b000001000000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H4_CFG bound to: 11'b00011110000 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_DFE_H5_CFG bound to: 11'b00011100000 2default:defaulthp x  b %s *synth2J 6 Parameter RX_DFE_KL_CFG bound to: 13'b0000011111110 2default:defaulthp x  k %s *synth2S ? Parameter RX_DFE_KL_CFG2 bound to: 806439084 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_LPM_CFG bound to: 16'b0000100101010100 2default:defaulthp x  d %s *synth2L 8 Parameter RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_UT_CFG bound to: 17'b10001111000000000 2default:defaulthp x  f %s *synth2N : Parameter RX_DFE_VP_CFG bound to: 17'b00011111100000011 2default:defaulthp x  c %s *synth2K 7 Parameter RX_DFE_XYD_CFG bound to: 13'b0000000000000 2default:defaulthp x  l %s *synth2T @ Parameter RX_DISPERR_SEQ_MATCH bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter RX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_OS_CFG bound to: 13'b0000010000000 2default:defaulthp x  f %s *synth2N : Parameter RX_SIG_VALID_DLY bound to: 10 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter RX_XCLK_SEL bound to: RXUSR - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MAX_COM bound to: 64 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter SAS_MIN_COM bound to: 36 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter SATA_BURST_SEQ_LEN bound to: 4'b0101 2default:defaulthp x  X %s *synth2@ , Parameter SATA_BURST_VAL bound to: 3'b100 2default:defaulthp x  k %s *synth2S ? Parameter SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string 2default:defaulthp x  X %s *synth2@ , Parameter SATA_EIDLE_VAL bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_BURST bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MAX_INIT bound to: 21 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MAX_WAKE bound to: 7 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_BURST bound to: 4 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SATA_MIN_INIT bound to: 12 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SATA_MIN_WAKE bound to: 4 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter SHOW_REALIGN_COMMA bound to: FALSE - type: string 2default:defaulthp x  \ %s *synth2D 0 Parameter SIM_CPLLREFCLK_SEL bound to: 3'b010 2default:defaulthp x  o %s *synth2W C Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string 2default:defaulthp x  h %s *synth2P < Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string 2default:defaulthp x  l %s *synth2T @ Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: X - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter SIM_VERSION bound to: 4.0 - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TERM_RCAL_CFG bound to: 5'b10000 2default:defaulthp x  V %s *synth2> * Parameter TERM_RCAL_OVRD bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TRANS_TIME_RATE bound to: 8'b00001110 2default:defaulthp x  o %s *synth2W C Parameter TST_RSV bound to: 32'b00000000000000000000000000000000 2default:defaulthp x  ` %s *synth2H 4 Parameter TXBUF_EN bound to: FALSE - type: string 2default:defaulthp x  q %s *synth2Y E Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter TXDLY_CFG bound to: 16'b0000000000011111 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXDLY_LCFG bound to: 12'b000000110000 2default:defaulthp x  e %s *synth2M 9 Parameter TXDLY_TAP_CFG bound to: 16'b0000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter TXGEARBOX_EN bound to: FALSE - type: string 2default:defaulthp x  ^ %s *synth2F 2 Parameter TXOUT_DIV bound to: 2 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter TXPCSRESET_TIME bound to: 5'b00001 2default:defaulthp x  k %s *synth2S ? Parameter TXPHDLY_CFG bound to: 24'b000010000100000000100000 2default:defaulthp x  ` %s *synth2H 4 Parameter TXPH_CFG bound to: 16'b0000011110000000 2default:defaulthp x  \ %s *synth2D 0 Parameter TXPH_MONITOR_SEL bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter TXPMARESET_TIME bound to: 5'b00001 2default:defaulthp x  a %s *synth2I 5 Parameter TX_CLK25_DIV bound to: 5 - type: integer 2default:defaulthp x  T %s *synth2< ( Parameter TX_CLKMUX_PD bound to: 1'b1 2default:defaulthp x  c %s *synth2K 7 Parameter TX_DATA_WIDTH bound to: 20 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH0 bound to: 5'b00000 2default:defaulthp x  V %s *synth2> * Parameter TX_DEEMPH1 bound to: 5'b00000 2default:defaulthp x  f %s *synth2N : Parameter TX_DRIVE_MODE bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b110 2default:defaulthp x  a %s *synth2I 5 Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b100 2default:defaulthp x  e %s *synth2M 9 Parameter TX_INT_DATAWIDTH bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string 2default:defaulthp x  Y %s *synth2A - Parameter TX_MAINCURSOR_SEL bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_0 bound to: 7'b1001110 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_1 bound to: 7'b1001001 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_2 bound to: 7'b1000101 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_3 bound to: 7'b1000010 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_MARGIN_FULL_4 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_0 bound to: 7'b1000110 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_1 bound to: 7'b1000100 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_2 bound to: 7'b1000010 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_3 bound to: 7'b1000000 2default:defaulthp x  ] %s *synth2E 1 Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000 2default:defaulthp x  Y %s *synth2A - Parameter TX_PREDRIVER_MODE bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter TX_QPI_STATUS_EN bound to: 1'b0 2default:defaulthp x  g %s *synth2O ; Parameter TX_RXDETECT_CFG bound to: 16'b0001100000110010 2default:defaulthp x  Y %s *synth2A - Parameter TX_RXDETECT_REF bound to: 3'b100 2default:defaulthp x  c %s *synth2K 7 Parameter TX_XCLK_SEL bound to: TXUSR - type: string 2default:defaulthp x  S %s *synth2; ' Parameter UCODEER_CLR bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 gtxe2_i2default:default2! GTXE2_CHANNEL2default:default2m Wd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_gt.vhd2default:default2 2622default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ngFEC_mgt_GT2default:default2 12default:default2 12default:default2m Wd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_gt.vhd2default:default2 1992default:default8@Z8-256hpx ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2* ngFEC_mgt_cpll_railing2default:default2u ad:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_cpll_railing.vhd2default:default2 752default:default2# cpll_railing0_i2default:default2* ngFEC_mgt_cpll_railing2default:default2s ]d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_multi_gt.vhd2default:default2 5272default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2* ngFEC_mgt_cpll_railing2default:default2w ad:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_cpll_railing.vhd2default:default2 882default:default8@Z8-638hpx ] %s *synth2E 1 Parameter USE_BUFG bound to: 0 - type: integer 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 refclk_buf2default:default2 BUFH2default:default2w ad:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_cpll_railing.vhd2default:default2 1222default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2* ngFEC_mgt_cpll_railing2default:default2 22default:default2 12default:default2w ad:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_cpll_railing.vhd2default:default2 882default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& ngFEC_mgt_multi_gt2default:default2 32default:default2 12default:default2s ]d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_multi_gt.vhd2default:default2 2042default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 1 - type: bool 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2, ngFEC_mgt_TX_STARTUP_FSM2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 742default:default2$ gt0_txresetfsm_i2default:default2, ngFEC_mgt_TX_STARTUP_FSM2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 7532default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2, ngFEC_mgt_TX_STARTUP_FSM2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 1202default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 1 - type: bool 2default:defaulthp x  W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default20 sync_run_phase_alignment_int2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 2762default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 972default:default8@Z8-638hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x  L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg12default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1302default:default8@Z8-113hpx L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg22default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1402default:default8@Z8-113hpx L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg32default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1502default:default8@Z8-113hpx L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg42default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1602default:default8@Z8-113hpx L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg52default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1702default:default8@Z8-113hpx L %s *synth24 Parameter INIT bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" data_sync_reg62default:default2 FD2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 1802default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2( ngFEC_mgt_sync_block2default:default2 42default:default2 12default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 972default:default8@Z8-256hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2. sync_tx_fsm_reset_done_int2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 2842default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2$ sync_TXRESETDONE2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3012default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2- sync_time_out_wait_bypass2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3092default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2, sync_mmcm_lock_reclocked2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3172default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2! sync_CPLLLOCK2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3372default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2! sync_QPLLLOCK2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3452default:default8@Z8-3491hpx  +Unused sequential element %s was removed. 4326*oasys2% cplllock_prev_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3322default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2% qplllock_prev_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3332default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2) cplllock_ris_edge_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3582default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2) qplllock_ris_edge_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 3732default:default8@Z8-6014hpx  %done synthesizing module '%s' (%s#%s)256*oasys2, ngFEC_mgt_TX_STARTUP_FSM2default:default2 52default:default2 12default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_tx_startup_fsm.vhd2default:default2 1202default:default8@Z8-256hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2, ngFEC_mgt_RX_STARTUP_FSM2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 762default:default2$ gt0_rxresetfsm_i2default:default2, ngFEC_mgt_RX_STARTUP_FSM2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 7942default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2, ngFEC_mgt_RX_STARTUP_FSM2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 1312default:default8@Z8-638hpx g %s *synth2O ; Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter EQ_MODE bound to: DFE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter STABLE_CLOCK_PERIOD bound to: 25 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter RETRY_COUNTER_BITWIDTH bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter TX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  ^ %s *synth2F 2 Parameter RX_QPLL_USED bound to: 0 - type: bool 2default:defaulthp x  h %s *synth2P < Parameter PHASE_ALIGNMENT_MANUAL bound to: 0 - type: bool 2default:defaulthp x   "Detected attribute (* %s = "%s" *)3982*oasys2 keep2default:default2 true2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 2412default:default8@Z8-5534hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default20 sync_run_phase_alignment_int2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 3722default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2. sync_rx_fsm_reset_done_int2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 3852default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2$ sync_RXRESETDONE2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4022default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2- sync_time_out_wait_bypass2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4102default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2, sync_mmcm_lock_reclocked2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4182default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2# sync_data_valid2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4262default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2! sync_CPLLLOCK2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4462default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2! sync_QPLLLOCK2default:default2( ngFEC_mgt_sync_block2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4542default:default8@Z8-3491hpx  +Unused sequential element %s was removed. 4326*oasys2& time_out_500us_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 3162default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2$ time_out_1us_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 3172default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2% cplllock_prev_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4412default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2% qplllock_prev_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4422default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2) cplllock_ris_edge_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4682default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2) qplllock_ris_edge_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 4832default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2- rx_fsm_reset_done_int_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 5552default:default8@Z8-6014hpx  +Unused sequential element %s was removed. 4326*oasys2* pll_reset_asserted_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 5582default:default8@Z8-6014hpx  %done synthesizing module '%s' (%s#%s)256*oasys2, ngFEC_mgt_RX_STARTUP_FSM2default:default2 62default:default2 12default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 1312default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 802default:default2- gt0_tx_auto_phase_align_i2default:default2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 8712default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 932default:default8@Z8-638hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2$ sync_PHALIGNDONE2default:default2( ngFEC_mgt_sync_block2default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 1202default:default8@Z8-3491hpx W %s *synth2? + Parameter INITIALISE bound to: 6'b000000 2default:defaulthp x   Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2( ngFEC_mgt_sync_block2default:default2 xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_sync_block.vhd2default:default2 812default:default2& sync_DLYSRESETDONE2default:default2( ngFEC_mgt_sync_block2default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 1282default:default8@Z8-3491hpx  default block is never used226*oasys2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 1622default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2 72default:default2 12default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 932default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2 ~d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_auto_phase_align.vhd2default:default2 802default:default2- gt0_rx_auto_phase_align_i2default:default2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 8972default:default8@Z8-3491hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" ngFEC_mgt_init2default:default2 82default:default2 12default:default2o Yd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt_init.vhd2default:default2 1942default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ngFEC_mgt2default:default2 92default:default2 12default:default2j Td:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngfec_mgt.vhd2default:default2 1792default:default8@Z8-256hpx  !design %s has unconnected port %s3331*oasys2, ngFEC_mgt_RX_STARTUP_FSM2default:default2" QPLLREFCLKLOST2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2, ngFEC_mgt_RX_STARTUP_FSM2default:default2" CPLLREFCLKLOST2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2, ngFEC_mgt_TX_STARTUP_FSM2default:default2" QPLLREFCLKLOST2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_cpllreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_rxuserrdy_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_gtrxreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_gttxreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_txuserrdy_in2default:defaultZ8-3331hpx  %s *synth2 xFinished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 525.828 ; gain = 153.195 2default:defaulthp x  D %s *synth2,  Report Check Netlist: 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  u %s *synth2] I| |Item |Errors |Warnings |Status |Description | 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  u %s *synth2] I|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 525.828 ; gain = 153.195 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 525.828 ; gain = 153.195 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  g -Analyzing %s Unisim elements for replacement 17*netlist2 1142default:defaultZ29-17hpx j 2Unisim Transformation completed in %s CPU seconds 28*netlist2 02default:defaultZ29-28hpx X Loading part %s157*device2% xc7k420tffg1156-22default:defaultZ21-403hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx >  Processing XDC Constraints 244*projectZ1-262hpx = Initializing timing engine 348*projectZ1-569hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2n Xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt_ooc.xdc2default:default2 U0 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2n Xd:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt_ooc.xdc2default:default2 U0 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2j Td:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt.xdc2default:default2 U0 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2j Td:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt.xdc2default:default2 U0 2default:default8Z20-847hpx  Parsing XDC File [%s] 179* designutils2f PD:/Design_collection/ngFECv0x43d/ngFEC_new.runs/ngFEC_mgt_synth_1/dont_touch.xdc2default:default8Z20-179hpx  Finished Parsing XDC File [%s] 178* designutils2f PD:/Design_collection/ngFECv0x43d/ngFEC_new.runs/ngFEC_mgt_synth_1/dont_touch.xdc2default:default8Z20-178hpx H &Completed Processing XDC Constraints 245*projectZ1-263hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:002default:default2 956.9342default:default2 0.0002default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2] I A total of 114 instances were transformed. FD => FDRE: 114 instances 2default:defaultZ1-111hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:002default:default2 956.9342default:default2 0.0002default:defaultZ17-268hp x   I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common24 Constraint Validation Runtime : 2default:default2 00:00:002default:default2 00:00:00.0432default:default2 958.3132default:default2 1.3792default:defaultZ17-268hp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 ~Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  V %s *synth2> *Start Loading Part and Timing Information 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Loading part: xc7k420tffg1156-2 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Z %s *synth2B .Start Applying 'set_property' XDC Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   3inferred FSM for state register '%s' in module '%s'802*oasys2 tx_state_reg2default:default2, ngFEC_mgt_TX_STARTUP_FSM2default:defaultZ8-802hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" init_wait_done2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2# init_wait_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2$ time_out_counter2default:defaultZ8-5546hpx | 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 time_out_2ms2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" time_tlock_max2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" time_out_500us2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2% wait_bypass_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2( time_out_wait_bypass2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" wait_time_done2default:defaultZ8-5546hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 TXUSERRDY2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 gttxreset_i2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 MMCM_RESET2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2) tx_fsm_reset_done_int2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 CPLL_RESET2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2+ run_phase_alignment_int2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 tx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 tx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 tx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 tx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  merging register '%s' into '%s'3619*oasys2" CPLL_RESET_reg2default:default2" QPLL_RESET_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 5572default:default8@Z8-4471hpx  merging register '%s' into '%s'3619*oasys2. recclk_mon_count_reset_reg2default:default2) adapt_count_reset_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 2942default:default8@Z8-4471hpx  merging register '%s' into '%s'3619*oasys2# RXDFELFHOLD_reg2default:default2$ RXDFEAGCHOLD_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 5672default:default8@Z8-4471hpx  merging register '%s' into '%s'3619*oasys2# RXLPMHFHOLD_reg2default:default2# RXLPMLFHOLD_reg2default:default2 |d:/Design_collection/ngFECv0x43d/ngFEC_new.srcs/sources_1/ip/ngFEC_mgt/ngFEC_mgt/example_design/ngfec_mgt_rx_startup_fsm.vhd2default:default2 5692default:default8@Z8-4471hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 rx_state_reg2default:default2, ngFEC_mgt_RX_STARTUP_FSM2default:defaultZ8-802hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" init_wait_done2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2# init_wait_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2$ time_out_counter2default:defaultZ8-5546hpx | 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 time_out_2ms2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" time_out_100us2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2% wait_bypass_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2( time_out_wait_bypass2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" wait_time_done2default:defaultZ8-5546hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2% retry_counter_int2default:default2 22default:default2 52default:defaultZ8-5544hpx { 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 adapt_count2default:defaultZ8-5546hpx ~ 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2" time_out_adapt2default:defaultZ8-5546hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 gtrxreset_i2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 mmcm_reset_i2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2+ run_phase_alignment_int2default:default2 42default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 rx_state2default:default2 12default:default2 52default:defaultZ8-5544hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% phalign_state_reg2default:default2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:defaultZ8-802hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2! phalign_state2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2( PHASE_ALIGNMENT_DONE2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 DLYSRESET2default:default2 22default:default2 52default:defaultZ8-5544hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2$ gt0_rx_cdrlocked2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2* gt0_rx_cdrlock_counter2default:defaultZ8-5546hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0001 | 0001 2default:defaulthp x   %s *synth2s _ wait_for_pll_lock | 0010 | 0010 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0011 | 0011 2default:defaulthp x   %s *synth2s _ wait_for_txoutclk | 0100 | 0100 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0101 | 0101 2default:defaulthp x   %s *synth2s _ wait_for_txusrclk | 0110 | 0110 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0111 | 0111 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 1000 | 1000 2default:defaulthp x   %s *synth2s _ reset_fsm_done | 1001 | 1001 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 tx_state_reg2default:default2 sequential2default:default2, ngFEC_mgt_TX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0000 | 0000 2default:defaulthp x   %s *synth2s _ assert_all_resets | 0001 | 0001 2default:defaulthp x   %s *synth2s _ wait_for_pll_lock | 0010 | 0010 2default:defaulthp x   %s *synth2s _ release_pll_reset | 0011 | 0011 2default:defaulthp x   %s *synth2s _ verify_recclk_stable | 0100 | 0100 2default:defaulthp x   %s *synth2s _ release_mmcm_reset | 0101 | 0101 2default:defaulthp x   %s *synth2s _ wait_for_rxusrclk | 0110 | 0110 2default:defaulthp x   %s *synth2s _ wait_reset_done | 0111 | 0111 2default:defaulthp x   %s *synth2s _ do_phase_alignment | 1000 | 1000 2default:defaulthp x   %s *synth2s _ monitor_data_valid | 1001 | 1001 2default:defaulthp x   %s *synth2s _ fsm_done | 1010 | 1010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 rx_state_reg2default:default2 sequential2default:default2, ngFEC_mgt_RX_STARTUP_FSM2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ init | 0001 | 00 2default:defaulthp x   %s *synth2s _ wait_phrst_done | 0010 | 01 2default:defaulthp x   %s *synth2s _ count_phalign_done | 0100 | 10 2default:defaulthp x   %s *synth2s _ phalign_done | 1000 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% phalign_state_reg2default:default2 one-hot2default:default2. ngFEC_mgt_AUTO_PHASE_ALIGN2default:defaultZ8-3354hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2-  Report RTL Partitions: 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? +| |RTL Partition |Replication |Instances | 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  Z %s *synth2B . 2 Input 11 Bit Adders := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Adders := 4 2default:defaulthp x  Z %s *synth2B . 2 Input 7 Bit Adders := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 5 Bit Adders := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 2 Bit Adders := 3 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 128 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 96 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 11 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 8 Bit Registers := 4 2default:defaulthp x  Z %s *synth2B . 7 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 5 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 2 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 50 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Muxes := 2 2default:defaulthp x  Z %s *synth2B . 10 Input 4 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 4 Bit Muxes := 10 2default:defaulthp x  Z %s *synth2B . 11 Input 4 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 2 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit Muxes := 39 2default:defaulthp x  Z %s *synth2B . 10 Input 1 Bit Muxes := 17 2default:defaulthp x  Z %s *synth2B . 11 Input 1 Bit Muxes := 17 2default:defaulthp x  Z %s *synth2B . 4 Input 1 Bit Muxes := 4 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Finished RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Y %s *synth2A -Start RTL Hierarchical Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Hierarchical RTL Component report 2default:defaulthp x  K %s *synth23 Module ngFEC_mgt_cpll_railing 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 128 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 96 Bit Registers := 1 2default:defaulthp x  M %s *synth25 !Module ngFEC_mgt_TX_STARTUP_FSM 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Adders := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 7 Bit Adders := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 5 Bit Adders := 1 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 8 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 7 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 5 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 20 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 10 Input 4 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 4 Bit Muxes := 4 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit Muxes := 16 2default:defaulthp x  Z %s *synth2B . 10 Input 1 Bit Muxes := 17 2default:defaulthp x  M %s *synth25 !Module ngFEC_mgt_RX_STARTUP_FSM 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Adders := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 7 Bit Adders := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 5 Bit Adders := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 2 Bit Adders := 1 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 8 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 7 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 5 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 2 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 23 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  Z %s *synth2B . 2 Input 8 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 11 Input 4 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 4 Bit Muxes := 6 2default:defaulthp x  Z %s *synth2B . 2 Input 2 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit Muxes := 19 2default:defaulthp x  Z %s *synth2B . 11 Input 1 Bit Muxes := 17 2default:defaulthp x  O %s *synth27 #Module ngFEC_mgt_AUTO_PHASE_ALIGN 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  Z %s *synth2B . 2 Input 2 Bit Adders := 1 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 2 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 3 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit Muxes := 1 2default:defaulthp x  Z %s *synth2B . 4 Input 1 Bit Muxes := 2 2default:defaulthp x  C %s *synth2+ Module ngFEC_mgt_init 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  Z %s *synth2B . 2 Input 11 Bit Adders := 1 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 11 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 1 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit Muxes := 2 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  [ %s *synth2C /Finished RTL Hierarchical Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2o [Part Resources: DSPs: 1680 (col length:160) BRAMs: 1670 (col length: RAMB18 160 RAMB36 80) 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  W %s *synth2? +Start Cross Boundary and Area Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ] %s *synth2E 1Warning: Parallel synthesis criteria is not met 2default:defaulthp x   [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys26 "gt0_rxresetfsm_i/retry_counter_int2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2- gt0_rxresetfsm_i/rx_state2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2; 'gt0_tx_auto_phase_align_i/phalign_state2default:default2 22default:default2 52default:defaultZ8-5544hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2; 'gt0_rx_auto_phase_align_i/phalign_state2default:default2 22default:default2 52default:defaultZ8-5544hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_txresetfsm_i/wait_time_done2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys25 !gt0_txresetfsm_i/time_out_counter2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys21 gt0_txresetfsm_i/time_out_2ms2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_txresetfsm_i/time_tlock_max2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_txresetfsm_i/time_out_500us2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys26 "gt0_txresetfsm_i/wait_bypass_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys29 %gt0_txresetfsm_i/time_out_wait_bypass2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_rxresetfsm_i/wait_time_done2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys25 !gt0_rxresetfsm_i/time_out_counter2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys21 gt0_rxresetfsm_i/time_out_2ms2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_rxresetfsm_i/time_out_100us2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys20 gt0_rxresetfsm_i/adapt_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys23 gt0_rxresetfsm_i/time_out_adapt2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys26 "gt0_rxresetfsm_i/wait_bypass_count2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys29 %gt0_rxresetfsm_i/time_out_wait_bypass2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2* gt0_rx_cdrlock_counter2default:defaultZ8-5546hpx  8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2$ gt0_rx_cdrlocked2default:defaultZ8-5546hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_cpllreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_rxuserrdy_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_gtrxreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_gttxreset_in2default:defaultZ8-3331hpx  !design %s has unconnected port %s3331*oasys2" ngFEC_mgt_init2default:default2$ gt0_txuserrdy_in2default:defaultZ8-3331hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2I 5U0/\gt0_rxresetfsm_i/recclk_mon_restart_count_reg[0] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2I 5U0/\gt0_rxresetfsm_i/recclk_mon_restart_count_reg[1] 2default:defaultZ8-3333hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg12default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg22default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg32default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg42default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg52default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_txresetfsm_i/sync_QPLLLOCK/data_sync_reg62default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg12default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg22default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg32default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg42default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg52default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx  ESequential element (%s) is unused and will be removed from module %s.3332*oasys2A -gt0_rxresetfsm_i/sync_QPLLLOCK/data_sync_reg62default:default2" ngFEC_mgt_init2default:defaultZ8-3332hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2-  Report RTL Partitions: 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? +| |RTL Partition |Replication |Instances | 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  R %s *synth2: &Start Applying XDC Timing Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  F %s *synth2. Start Timing Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 |Finished Timing Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 958.313 ; gain = 585.680 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2-  Report RTL Partitions: 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? +| |RTL Partition |Replication |Instances | 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2- Start Technology Mapping 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 {Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2-  Report RTL Partitions: 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? +| |RTL Partition |Replication |Instances | 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ? %s *synth2' Start IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Q %s *synth29 %Start Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  T %s *synth2< (Finished Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 uFinished IO Insertion : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  D %s *synth2,  Report Check Netlist: 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  u %s *synth2] I| |Item |Errors |Warnings |Status |Description | 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  u %s *synth2] I|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | 2default:defaulthp x  u %s *synth2] I+------+------------------+-------+---------+-------+------------------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Start Renaming Generated Instances 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Instances : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2-  Report RTL Partitions: 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? +| |RTL Partition |Replication |Instances | 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  W %s *synth2? ++-+--------------+------------+----------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start Rebuilding User Hierarchy 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Renaming Generated Ports 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Ports : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  J %s *synth22 Start Renaming Generated Nets 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Nets : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23  Static Shift Register Report: 2default:defaulthp x   %s *synth2 +---------------+-----------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | 2default:defaulthp x   %s *synth2 +---------------+-----------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |ngFEC_mgt_init | ngFEC_mgt_i/cpll_railing0_i/cpllreset_wait_reg[127] | 128 | 1 | NO | NO | YES | 0 | 4 | 2default:defaulthp x   %s *synth2 |ngFEC_mgt_init | ngFEC_mgt_i/cpll_railing0_i/cpllpd_wait_reg[95] | 96 | 1 | NO | NO | YES | 0 | 3 | 2default:defaulthp x   %s *synth2 +---------------+-----------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP and Shift Register Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Writing Synthesis Report 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  A %s *synth2)  Report BlackBoxes: 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  J %s *synth22 | |BlackBox name |Instances | 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  J %s *synth22 +-+--------------+----------+ 2default:defaulthp x  A %s *synth2)  Report Cell Usage: 2default:defaulthp x  K %s *synth23 +------+--------------+------+ 2default:defaulthp x  K %s *synth23 | |Cell |Count | 2default:defaulthp x  K %s *synth23 +------+--------------+------+ 2default:defaulthp x  K %s *synth23 |1 |BUFH | 1| 2default:defaulthp x  K %s *synth23 |2 |CARRY4 | 26| 2default:defaulthp x  K %s *synth23 |3 |GTXE2_CHANNEL | 1| 2default:defaulthp x  K %s *synth23 |4 |LUT1 | 18| 2default:defaulthp x  K %s *synth23 |5 |LUT2 | 42| 2default:defaulthp x  K %s *synth23 |6 |LUT3 | 19| 2default:defaulthp x  K %s *synth23 |7 |LUT4 | 29| 2default:defaulthp x  K %s *synth23 |8 |LUT5 | 34| 2default:defaulthp x  K %s *synth23 |9 |LUT6 | 66| 2default:defaulthp x  K %s *synth23 |10 |SRLC32E | 7| 2default:defaulthp x  K %s *synth23 |11 |FD | 102| 2default:defaulthp x  K %s *synth23 |12 |FDCE | 12| 2default:defaulthp x  K %s *synth23 |13 |FDRE | 177| 2default:defaulthp x  K %s *synth23 |14 |FDSE | 10| 2default:defaulthp x  K %s *synth23 +------+--------------+------+ 2default:defaulthp x  E %s *synth2-  Report Instance Areas: 2default:defaulthp x  ~ %s *synth2f R+------+-----------------------------------+-----------------------------+------+ 2default:defaulthp x  ~ %s *synth2f R| |Instance |Module |Cells | 2default:defaulthp x  ~ %s *synth2f R+------+-----------------------------------+-----------------------------+------+ 2default:defaulthp x  ~ %s *synth2f R|1 |top | | 544| 2default:defaulthp x  ~ %s *synth2f R|2 | U0 |ngFEC_mgt_init | 544| 2default:defaulthp x  ~ %s *synth2f R|3 | gt0_rx_auto_phase_align_i |ngFEC_mgt_AUTO_PHASE_ALIGN | 26| 2default:defaulthp x  ~ %s *synth2f R|4 | sync_DLYSRESETDONE |ngFEC_mgt_sync_block_15 | 6| 2default:defaulthp x  ~ %s *synth2f R|5 | sync_PHALIGNDONE |ngFEC_mgt_sync_block_16 | 6| 2default:defaulthp x  ~ %s *synth2f R|6 | gt0_rxresetfsm_i |ngFEC_mgt_RX_STARTUP_FSM | 255| 2default:defaulthp x  ~ %s *synth2f R|7 | sync_CPLLLOCK |ngFEC_mgt_sync_block_8 | 9| 2default:defaulthp x  ~ %s *synth2f R|8 | sync_RXRESETDONE |ngFEC_mgt_sync_block_9 | 6| 2default:defaulthp x  ~ %s *synth2f R|9 | sync_data_valid |ngFEC_mgt_sync_block_10 | 15| 2default:defaulthp x  ~ %s *synth2f R|10 | sync_mmcm_lock_reclocked |ngFEC_mgt_sync_block_11 | 8| 2default:defaulthp x  ~ %s *synth2f R|11 | sync_run_phase_alignment_int |ngFEC_mgt_sync_block_12 | 6| 2default:defaulthp x  ~ %s *synth2f R|12 | sync_rx_fsm_reset_done_int |ngFEC_mgt_sync_block_13 | 6| 2default:defaulthp x  ~ %s *synth2f R|13 | sync_time_out_wait_bypass |ngFEC_mgt_sync_block_14 | 6| 2default:defaulthp x  ~ %s *synth2f R|14 | gt0_tx_auto_phase_align_i |ngFEC_mgt_AUTO_PHASE_ALIGN_0 | 25| 2default:defaulthp x  ~ %s *synth2f R|15 | sync_DLYSRESETDONE |ngFEC_mgt_sync_block_6 | 6| 2default:defaulthp x  ~ %s *synth2f R|16 | sync_PHALIGNDONE |ngFEC_mgt_sync_block_7 | 6| 2default:defaulthp x  ~ %s *synth2f R|17 | gt0_txresetfsm_i |ngFEC_mgt_TX_STARTUP_FSM | 197| 2default:defaulthp x  ~ %s *synth2f R|18 | sync_CPLLLOCK |ngFEC_mgt_sync_block | 11| 2default:defaulthp x  ~ %s *synth2f R|19 | sync_TXRESETDONE |ngFEC_mgt_sync_block_1 | 6| 2default:defaulthp x  ~ %s *synth2f R|20 | sync_mmcm_lock_reclocked |ngFEC_mgt_sync_block_2 | 8| 2default:defaulthp x  ~ %s *synth2f R|21 | sync_run_phase_alignment_int |ngFEC_mgt_sync_block_3 | 6| 2default:defaulthp x  ~ %s *synth2f R|22 | sync_time_out_wait_bypass |ngFEC_mgt_sync_block_4 | 6| 2default:defaulthp x  ~ %s *synth2f R|23 | sync_tx_fsm_reset_done_int |ngFEC_mgt_sync_block_5 | 6| 2default:defaulthp x  ~ %s *synth2f R|24 | ngFEC_mgt_i |ngFEC_mgt_multi_gt | 13| 2default:defaulthp x  ~ %s *synth2f R|25 | cpll_railing0_i |ngFEC_mgt_cpll_railing | 12| 2default:defaulthp x  ~ %s *synth2f R|26 | gt0_ngFEC_mgt_i |ngFEC_mgt_GT | 1| 2default:defaulthp x  ~ %s *synth2f R+------+-----------------------------------+-----------------------------+------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Writing Synthesis Report : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  r %s *synth2Z FSynthesis finished with 0 errors, 0 critical warnings and 5 warnings. 2default:defaulthp x   %s *synth2 ~Synthesis Optimization Runtime : Time (s): cpu = 00:00:13 ; elapsed = 00:00:17 . Memory (MB): peak = 960.359 ; gain = 155.242 2default:defaulthp x   %s *synth2 Synthesis Optimization Complete : Time (s): cpu = 00:00:23 ; elapsed = 00:00:24 . Memory (MB): peak = 960.359 ; gain = 587.727 2default:defaulthp x  B Translating synthesized netlist 350*projectZ1-571hpx g -Analyzing %s Unisim elements for replacement 17*netlist2 1282default:defaultZ29-17hpx j 2Unisim Transformation completed in %s CPU seconds 28*netlist2 02default:defaultZ29-28hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx u )Pushed %s inverter(s) to %s load pin(s). 98*opt2 02default:default2 02default:defaultZ31-138hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:002default:default2 973.1762default:default2 0.0002default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2] I A total of 102 instances were transformed. FD => FDRE: 102 instances 2default:defaultZ1-111hpx U Releasing license: %s 83*common2 Synthesis2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 1562default:default2 252default:default2 02default:default2 02default:defaultZ4-41hpx ^ %s completed successfully 29* vivadotcl2 synth_design2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2" synth_design: 2default:default2 00:00:262default:default2 00:00:282default:default2 973.1802default:default2 612.0202default:defaultZ17-268hp x   I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:002default:default2 973.1802default:default2 0.0002default:defaultZ17-268hp x  K "No constraints selected for write.1103* constraintsZ18-5210hpx  The %s '%s' has been generated. 621*common2 checkpoint2default:default2c OD:/Design_collection/ngFECv0x43d/ngFEC_new.runs/ngFEC_mgt_synth_1/ngFEC_mgt.dcp2default:defaultZ17-1381hpx