Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 | Date : Sat Apr 18 12:30:23 2020 | Host : baby running 64-bit major release (build 9200) | Command : report_utilization -file ngFEC_mgt_utilization_synth.rpt -pb ngFEC_mgt_utilization_synth.pb | Design : ngFEC_mgt | Device : 7k420tffg1156-2 | Design State : Synthesized ------------------------------------------------------------------------------------------------------------- Utilization Design Information Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type 2. Memory 3. DSP 4. IO and GT Specific 5. Clocking 6. Specific Feature 7. Primitives 8. Black Boxes 9. Instantiated Netlists 1. Slice Logic -------------- +----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +----------------------------+------+-------+-----------+-------+ | Slice LUTs* | 174 | 0 | 260600 | 0.07 | | LUT as Logic | 167 | 0 | 260600 | 0.06 | | LUT as Memory | 7 | 0 | 108600 | <0.01 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 7 | 0 | | | | Slice Registers | 301 | 0 | 521200 | 0.06 | | Register as Flip Flop | 301 | 0 | 521200 | 0.06 | | Register as Latch | 0 | 0 | 521200 | 0.00 | | F7 Muxes | 0 | 0 | 149300 | 0.00 | | F8 Muxes | 0 | 0 | 74650 | 0.00 | +----------------------------+------+-------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. 1.1 Summary of Registers by Type -------------------------------- +-------+--------------+-------------+--------------+ | Total | Clock Enable | Synchronous | Asynchronous | +-------+--------------+-------------+--------------+ | 0 | _ | - | - | | 0 | _ | - | Set | | 0 | _ | - | Reset | | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | | 0 | Yes | - | Set | | 12 | Yes | - | Reset | | 10 | Yes | Set | - | | 279 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Memory --------- +----------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +----------------+------+-------+-----------+-------+ | Block RAM Tile | 0 | 0 | 835 | 0.00 | | RAMB36/FIFO* | 0 | 0 | 835 | 0.00 | | RAMB18 | 0 | 0 | 1670 | 0.00 | +----------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 3. DSP ------ +-----------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------+------+-------+-----------+-------+ | DSPs | 0 | 0 | 1680 | 0.00 | +-----------+------+-------+-----------+-------+ 4. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ | Bonded IOB | 0 | 0 | 400 | 0.00 | | Bonded IPADs | 0 | 0 | 98 | 0.00 | | Bonded OPADs | 0 | 0 | 64 | 0.00 | | PHY_CONTROL | 0 | 0 | 8 | 0.00 | | PHASER_REF | 0 | 0 | 8 | 0.00 | | OUT_FIFO | 0 | 0 | 32 | 0.00 | | IN_FIFO | 0 | 0 | 32 | 0.00 | | IDELAYCTRL | 0 | 0 | 8 | 0.00 | | IBUFDS | 0 | 0 | 384 | 0.00 | | GTXE2_COMMON | 0 | 0 | 8 | 0.00 | | GTXE2_CHANNEL | 1 | 0 | 32 | 3.13 | | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 | | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 | | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 | | IBUFDS_GTE2 | 0 | 0 | 16 | 0.00 | | ILOGIC | 0 | 0 | 400 | 0.00 | | OLOGIC | 0 | 0 | 400 | 0.00 | +-----------------------------+------+-------+-----------+-------+ 5. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ | BUFGCTRL | 0 | 0 | 32 | 0.00 | | BUFIO | 0 | 0 | 32 | 0.00 | | MMCME2_ADV | 0 | 0 | 8 | 0.00 | | PLLE2_ADV | 0 | 0 | 8 | 0.00 | | BUFMRCE | 0 | 0 | 16 | 0.00 | | BUFHCE | 1 | 0 | 192 | 0.52 | | BUFR | 0 | 0 | 32 | 0.00 | +------------+------+-------+-----------+-------+ 6. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------+------+-------+-----------+-------+ | BSCANE2 | 0 | 0 | 4 | 0.00 | | CAPTUREE2 | 0 | 0 | 1 | 0.00 | | DNA_PORT | 0 | 0 | 1 | 0.00 | | EFUSE_USR | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 2 | 0.00 | | PCIE_2_1 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 1 | 0.00 | +-------------+------+-------+-----------+-------+ 7. Primitives ------------- +---------------+------+---------------------+ | Ref Name | Used | Functional Category | +---------------+------+---------------------+ | FDRE | 279 | Flop & Latch | | LUT6 | 66 | LUT | | LUT2 | 42 | LUT | | LUT5 | 34 | LUT | | LUT4 | 29 | LUT | | CARRY4 | 26 | CarryLogic | | LUT3 | 19 | LUT | | LUT1 | 18 | LUT | | FDCE | 12 | Flop & Latch | | FDSE | 10 | Flop & Latch | | SRLC32E | 7 | Distributed Memory | | GTXE2_CHANNEL | 1 | IO | | BUFH | 1 | Clock | +---------------+------+---------------------+ 8. Black Boxes -------------- +----------+------+ | Ref Name | Used | +----------+------+ 9. Instantiated Netlists ------------------------ +----------+------+ | Ref Name | Used | +----------+------+