g Command: %s 53* vivadotcl26 "write_bitstream -force fc7_top.bit2default:defaultZ4-113hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2" Implementation2default:default2 xc7k420t2default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2" Implementation2default:default2 xc7k420t2default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2020.012default:defaultZ17-1540hpx x ,Running DRC as a precondition to command %s 1349* planAhead2# write_bitstream2default:defaultZ12-1349hpx > IP Catalog is up to date.1232*coregenZ19-1839hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx  {Input Buffer Connections: Input buffer %s has no loads. It is recommended to have an input buffer drive an internal load.%s*DRC2n "X sys/i2c_m/bufgen[0].scl_buf/IBUF  sys/i2c_m/bufgen[0].scl_buf/IBUF2default:default2default:default2> &DRC|Netlist|Instance|Required Pin|IBUF2default:default8ZBUFC-1hpx  YReport rule limit reached: REQP-1839 rule limit reached: 20 violations have been found.%s*DRC29 !DRC|DRC System|Rule limit reached2default:default8ZCHECK-3hpx  Bidirection LVDS IOs: The following port(s) use the LVDS_25 I/O standard and have bi-directional differential usage. Please note that LVDS_25 is a fixed impedance structure optimized to 100ohm differential. This is only intended to be used in point-to-point transmissions that do not have turn around timing requirements. If the intended usage is a bus structure, please use BLVDS/BLVDS_25, instead. %s.%s*DRC2 "@ k7_fabric_amc_rx_n03k7_fabric_amc_rx_n032default:default"@ k7_fabric_amc_rx_p03k7_fabric_amc_rx_p032default:default2default:default23 DRC|Pin Planning|Chip Level2default:default8ZLVDS-1hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "n +ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o3_out+ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "x 0ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o_reg_i_1/O0ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o_reg_i_1/O2default:default2default:default2 "t .ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o_reg_i_1 .ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2~ "h (ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_trst_o1(ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 7ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O7ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O2default:default2default:default2 " 5ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1 5ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 6ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_06ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_02default:default2default:default2 " 4ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O4ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O2default:default2default:default2 "| 2ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1 2ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "n +ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o3_out+ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "x 0ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o_reg_i_1/O0ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o_reg_i_1/O2default:default2default:default2 "t .ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o_reg_i_1 .ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2~ "h (ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_trst_o1(ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 7ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O7ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O2default:default2default:default2 " 5ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1 5ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 6ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_06ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_02default:default2default:default2 " 4ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O4ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O2default:default2default:default2 "| 2ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1 2ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "n +ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o3_out+ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "x 0ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o_reg_i_1/O0ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o_reg_i_1/O2default:default2default:default2 "t .ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o_reg_i_1 .ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2~ "h (ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_trst_o1(ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 7ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O7ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1/O2default:default2default:default2 " 5ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_1 5ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 6ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_06ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_02default:default2default:default2 " 4ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O4ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O2default:default2default:default2 "| 2ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1 2ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o_reg_i_1__6/O2ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o_reg_i_1__6/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o_reg_i_1__6 0ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o_reg_i_1__62default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__6/O9ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__6/O2default:default2default:default2 " 7ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__6 7ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__62default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6_n_08ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6/O6ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6/O2default:default2default:default2 " 4ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6 4ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__62default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o_reg_i_1__5/O2ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o_reg_i_1__5/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o_reg_i_1__5 0ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o_reg_i_1__52default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__5/O9ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__5/O2default:default2default:default2 " 7ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__5 7ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__52default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5_n_08ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5/O6ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5/O2default:default2default:default2 " 4ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5 4ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__52default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o_reg_i_1__4/O2ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o_reg_i_1__4/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o_reg_i_1__4 0ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o_reg_i_1__42default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__4/O9ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__4/O2default:default2default:default2 " 7ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__4 7ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__42default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4_n_08ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4/O6ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4/O2default:default2default:default2 " 4ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4 4ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__42default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o_reg_i_1__3/O2ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o_reg_i_1__3/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o_reg_i_1__3 0ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o_reg_i_1__32default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__3/O9ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__3/O2default:default2default:default2 " 7ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__3 7ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__32default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3_n_08ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3/O6ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3/O2default:default2default:default2 " 4ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3 4ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__32default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o_reg_i_1__2/O2ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o_reg_i_1__2/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o_reg_i_1__2 0ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o_reg_i_1__22default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__2/O9ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__2/O2default:default2default:default2 " 7ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__2 7ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__22default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2_n_08ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2/O6ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2/O2default:default2default:default2 " 4ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2 4ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__22default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o_reg_i_1__1/O2ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o_reg_i_1__1/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o_reg_i_1__1 0ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o_reg_i_1__12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__1/O9ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__1/O2default:default2default:default2 " 7ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__1 7ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1_n_08ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1/O6ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1/O2default:default2default:default2 " 4ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1 4ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o_reg_i_1__0/O2ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o_reg_i_1__0/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o_reg_i_1__0 0ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o_reg_i_1__02default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__0/O9ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__0/O2default:default2default:default2 " 7ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__0 7ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__02default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0_n_08ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0/O6ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0/O2default:default2default:default2 " 4ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0 4ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__02default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "v /ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o_reg_i_1/O/ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o_reg_i_1/O2default:default2default:default2 "r -ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o_reg_i_1 -ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 6ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2/O6ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2/O2default:default2default:default2 " 4ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2 4ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_22default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 5ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_05ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_02default:default2default:default2 "~ 3ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O3ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1/O2default:default2default:default2 "z 1ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1 1ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 "l *ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o3_out*ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o3_out2default:default2default:default2 "| 2ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o_reg_i_1__7/O2ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o_reg_i_1__7/O2default:default2default:default2 "x 0ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o_reg_i_1__7 0ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o_reg_i_1__72default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2| "f 'ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_trst_o1'ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_trst_o12default:default2default:default2 " 9ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__7/O9ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__7/O2default:default2default:default2 " 7ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__7 7ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_bridge_tdo_i_reg_i_2__72default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2 " 8ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7_n_08ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7_n_02default:default2default:default2 " 6ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7/O6ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7/O2default:default2default:default2 " 4ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7 4ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__72default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx  Gated clock check: Net %s is a gated clock net sourced by a combinational pin %s, cell %s. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.%s*DRC2t "^ #sys/ipb_sys_regs/regs_reg[11][12]_0#sys/ipb_sys_regs/regs_reg[11][12]_02default:default2default:default2r "\ "sys/ipb_sys_regs/sck_reg_LDC_i_1/O"sys/ipb_sys_regs/sck_reg_LDC_i_1/O2default:default2default:default2n "X sys/ipb_sys_regs/sck_reg_LDC_i_1  sys/ipb_sys_regs/sck_reg_LDC_i_12default:default2default:default2= %DRC|Physical Configuration|Chip Level2default:default8ZPDRC-153hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[10]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[10]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[5]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[5]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[10]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[10]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[5]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[5]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[11]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[11]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[6]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[6]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[11]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[11]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[6]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[6]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[12]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[12]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[7]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[7]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[12]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[12]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[7]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[7]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[13]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[13]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[8]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[8]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[13]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[13]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[8]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[8]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[14]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[14]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[9]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[9]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[14]engFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[14]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[9]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[9]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[5]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[5]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[0]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[0]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[5]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[5]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[0]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[0]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[6]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[6]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[1]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[1]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[6]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[6]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[1]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[1]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[7]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[7]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[2]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[2]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[7]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[7]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[2]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[2]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[8]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[8]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[3]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[3]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[8]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[8]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[3]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[3]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[9]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[9]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[4]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[4]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[0]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx RAMB36 async control check: The RAMB36E1 %s has an input control pin %s (net: %s) which is driven by a register (%s) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.%s*DRC2 " UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg UngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[9]dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg/ADDRARDADDR[9]2default:default2default:default2 " YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[4]YngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/BRAM_wr_adr[4]2default:default2default:default2 " dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1] dngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl_reg[1]2default:default2default:default2B *DRC|Netlist|Instance|Required Pin|RAMB36E12default:default8Z REQP-1839hpx g DRC finished with %s 1905* planAhead2) 0 Errors, 60 Warnings2default:defaultZ12-3199hpx i BPlease refer to the DRC report (report_drc) for more information. 1906* planAheadZ12-3200hpx i )Running write_bitstream with %s threads. 1750* designutils2 22default:defaultZ20-2272hpx ? Loading data files... 1271* designutilsZ12-1165hpx > Loading site data... 1273* designutilsZ12-1167hpx ? Loading route data... 1272* designutilsZ12-1166hpx ? Processing options... 1362* designutilsZ12-1514hpx < Creating bitmap... 1249* designutilsZ12-1141hpx 7 Creating bitstream... 7* bitstreamZ40-7hpx ^ Writing bitstream %s... 11* bitstream2! ./fc7_top.bit2default:defaultZ40-11hpx F Bitgen Completed Successfully. 1606* planAheadZ12-1842hpx s QWebTalk data collection is enabled (User setting is ON. Install Setting is ON.). 118*projectZ1-118hpx Z Releasing license: %s 83*common2" Implementation2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 1272default:default2 2822default:default2 02default:default2 02default:defaultZ4-41hpx a %s completed successfully 29* vivadotcl2# write_bitstream2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2% write_bitstream: 2default:default2 00:04:152default:default2 00:02:352default:default2 7873.4572default:default2 1058.7702default:defaultZ17-268hp x   End Record