Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click here.


software_version_and_target_device
betaFALSE build_version2405991
date_generatedMon May 18 09:59:09 2020 os_platformWIN64
product_versionVivado v2018.3 (64-bit) project_id303734299dfd4f1c869d2c1d11201d54
project_iteration1 random_ide61aec3775655031a768cfa7eb82f9f0
registration_id174239099_176075010_210697951_422 route_designTRUE
target_devicexc7k420t target_familykintex7
target_packageffg1156 target_speed-2
tool_flowVivado

user_environment
cpu_nameIntel(R) Xeon(R) CPU E5-1650 v4 @ 3.60GHz cpu_speed3592 MHz
os_nameMicrosoft Windows 8 or later , 64-bit os_releasemajor release (build 9200)
system_ram68.000 GB total_processors1

vivado_usage
gui_handlers
abstractsearchablepanel_show_search=2 addsrcwizard_specify_hdl_netlist_block_design=1 addsrcwizard_specify_simulation_specific_hdl_files=3 archiveprojectdialog_archive_name=1
basedialog_cancel=2 basedialog_close=2 basedialog_ok=12 basedialog_yes=3
cmdmsgdialog_ok=12 createsrcfiledialog_file_name=2 filesetpanel_file_set_panel_tree=69 flownavigatortreepanel_flow_navigator_tree=105
fpgachooser_family=1 fpgachooser_fpga_table=1 graphicalview_zoom_fit=42 graphicalview_zoom_in=173
graphicalview_zoom_out=54 hcodeeditor_search_text_combo_box=20 languagetemplatesdialog_templates_tree=10 logpanel_find=1
mainmenumgr_edit=4 mainmenumgr_file=24 mainmenumgr_flow=2 mainmenumgr_open_recent_project=14
mainmenumgr_project=17 mainmenumgr_simulation_waveform=4 openfileaction_cancel=1 pacommandnames_add_sources=7
pacommandnames_archive_project=1 pacommandnames_auto_update_hier=3 pacommandnames_new_project=1 pacommandnames_set_as_top=3
pacommandnames_simulation_live_break=13 pacommandnames_simulation_live_restart=8 pacommandnames_simulation_live_run=125 pacommandnames_simulation_run_behavioral=95
pacommandnames_upgrade_ip=1 paviews_code=11 paviews_project_summary=81 progressdialog_cancel=3
projectnamechooser_project_name=1 rdicommands_copy=127 rdicommands_cut=3 rdicommands_delete=1
rdicommands_line_comment=27 rdicommands_paste=242 rdicommands_redo=1 rdicommands_save_file=88
rdicommands_undo=51 rdicommands_waveform_save_configuration=22 rdicommands_waveform_save_configuration_as=3 rdiviews_waveform_viewer=213
saveprojectutils_save=2 simpleoutputproductdialog_generate_output_products_immediately=2 simulationliverunforcomp_specify_time_and_units=39 simulationobjectspanel_simulation_objects_tree_table=92
simulationscopespanel_simulate_scope_table=23 srcchooserpanel_add_directories=1 srcchooserpanel_add_hdl_and_netlist_files_to_your_project=7 srcchooserpanel_create_file=2
srcmenu_ip_hierarchy=3 taskbanner_close=81 waveformnametree_waveform_name_tree=27 waveformview_goto_last_time=1
waveformview_next_transition=25 waveformview_previous_transition=44
java_command_handlers
addsources=7 archiveproject=1 editdelete=1 newproject=1
recustomizecore=2 runbitgen=1 runimplementation=2 settopnode=3
showview=1 simulationbreak=13 simulationrestart=8 simulationrun=89
simulationrunfortime=124 toolstemplates=2 upgradeip=1 waveformsaveconfiguration=22
waveformsaveconfigurationas=3
other_data
guimode=63
project_data
constraintsetcount=5 core_container=false currentimplrun=impl_1 currentsynthesisrun=synth_1
default_library=xil_defaultlib designmode=RTL export_simulation_activehdl=10 export_simulation_ies=10
export_simulation_modelsim=10 export_simulation_questa=10 export_simulation_riviera=10 export_simulation_vcs=10
export_simulation_xsim=10 implstrategy=Vivado Implementation Defaults launch_simulation_activehdl=0 launch_simulation_ies=0
launch_simulation_modelsim=0 launch_simulation_questa=0 launch_simulation_riviera=0 launch_simulation_vcs=0
launch_simulation_xsim=77 simulator_language=Mixed srcsetcount=153 synthesisstrategy=Vivado Synthesis Defaults
target_language=VHDL target_simulator=XSim totalimplruns=3 totalsynthesisruns=3

unisim_transformation
post_unisim_transformation
bufg=22 bufgctrl=1 bufh=26 carry4=13330
fdce=55057 fdpe=4030 fdre=89516 fdse=1373
gnd=2940 gtxe2_channel=13 ibuf=125 ibufds=2
ibufds_gte2=4 icape2=1 iddr=1 ldce=73
lut1=9279 lut2=29067 lut3=40421 lut4=25693
lut5=33697 lut6=61482 mmcme2_adv=3 muxf7=1760
muxf8=515 obuf=106 obufds=1 obuft=42
oddr=1 plle2_adv=1 ramb36e1=573 srl16e=97
srlc32e=98 vcc=2977
pre_unisim_transformation
bufg=22 bufgctrl=1 bufh=26 carry4=13330
fdce=55057 fdpe=4030 fdre=89516 fdse=1373
gnd=2940 gtxe2_channel=13 ibuf=83 ibufds=2
ibufds_gte2=4 icape2=1 iddr=1 iobuf=42
ldce=73 lut1=9279 lut2=29067 lut3=40421
lut4=25693 lut5=33697 lut6=61482 mmcme2_adv=3
muxf7=1760 muxf8=515 obuf=106 obufds=1
oddr=1 plle2_adv=1 ramb36e1=573 srl16e=97
srlc32e=98 vcc=2977

power_opt_design
command_line_options_spo
-cell_types=default::all -clocks=default::[not_specified] -exclude_cells=default::[not_specified] -include_cells=default::[not_specified]
usage
bram_ports_augmented=8 bram_ports_newly_gated=21 bram_ports_total=1146 flow_state=default
slice_registers_augmented=0 slice_registers_newly_gated=0 slice_registers_total=140457 srls_augmented=0
srls_newly_gated=0 srls_total=193

ip_statistics
blk_mem_gen_v7_3/1
c_addra_width=10 c_addrb_width=9 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=1 c_disable_warn_bhv_range=1 c_elaboration_dir=[user-defined]
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=no_coe_file_loaded c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=0 c_mem_type=1 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=1024 c_read_depth_b=512 c_read_width_a=16
c_read_width_b=32 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=NONE c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=1024
c_write_depth_b=512 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=16
c_write_width_b=32 c_xdevicefamily=kintex7 core_container=NA iptotal=2
blk_mem_gen_v7_3/2
c_addra_width=9 c_addrb_width=10 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=1 c_disable_warn_bhv_range=1 c_elaboration_dir=[user-defined]
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=no_coe_file_loaded c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=0 c_mem_type=1 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=512 c_read_depth_b=1024 c_read_width_a=32
c_read_width_b=16 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=NONE c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=512
c_write_depth_b=1024 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=32
c_write_width_b=16 c_xdevicefamily=kintex7 core_container=NA iptotal=2
gig_ethernet_pcs_pma_v16_1_5/1
c_1588=0 c_8_or_9_family=false c_architecture=kintex7 c_clock_selection=0
c_component_name=gig_ethernet_pcs_pma_16_1 c_drpclkrate=50.0 c_dynamic_switching=false c_elaboration_transient_dir=[user-defined]
c_emac_if_temac=true c_enable_async_lvds=false c_enable_async_lvds_rx_only=false c_enable_async_sgmii=false
c_enable_tx_userclk_reset_port=false c_family=kintex7 c_gt_dmonitorout_width=8 c_gt_drpaddr_width=9
c_gt_loc=X0Y0 c_gt_rxmonitorout_width=7 c_gt_txdiffctrl_width=4 c_gt_type=GTH
c_gtinex=false c_has_an=false c_has_axil=false c_has_ext_mdio=false
c_has_mdio=false c_instantiatebitslice0=false c_is_2_5g=false c_is_sgmii=false
c_num_of_lanes=1 c_refclk_src=clk0 c_refclkrate=125 c_rx_gmii_clk_src=TXOUTCLK
c_rxlane0_placement=DIFF_PAIR_0 c_rxlane1_placement=DIFF_PAIR_1 c_rxnibblebitslice0used=false c_sgmii_fabric_buffer=true
c_sgmii_phy_mode=false c_sub_core_name=gig_ethernet_pcs_pma_16_1_gt c_support_level=false c_transceiver_type=GTXE2
c_transceivercontrol=false c_tx_in_upper_nibble=1 c_txlane0_placement=DIFF_PAIR_0 c_txlane1_placement=DIFF_PAIR_1
c_use_lvds=false c_use_tbi=false c_use_transceiver=true c_xdevicefamily=xc7k420t
characterization=false core_container=false example_simulation=0 gt_rx_byte_width=1
iptotal=1 x_ipcorerevision=5 x_iplanguage=VHDL x_iplibrary=ip
x_ipname=gig_ethernet_pcs_pma x_ipproduct=Vivado 2018.3 x_ipsimlanguage=MIXED x_ipvendor=xilinx.com
x_ipversion=16.1
gtwizard_v3_6_10/1
core_container=false iptotal=12 protocol_file=Start_from_scratch
xpm_cdc_single/1
core_container=NA dest_sync_ff=4 init_sync_ff=0 iptotal=252
sim_assert_chk=0 src_input_reg=0 version=0

report_drc
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -internal=default::[not_specified] -internal_only=default::[not_specified] -messages=default::[not_specified]
-name=default::[not_specified] -no_waivers=default::[not_specified] -return_string=default::[not_specified] -ruledecks=default::[not_specified]
-upgrade_cw=default::[not_specified] -waived=default::[not_specified]
results
bufc-1=1 check-3=1 lvds-1=1 pdrc-153=37
plio-3=4 reqp-1839=20 rpbf-3=96

report_methodology
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -messages=default::[not_specified] -name=default::[not_specified] -return_string=default::[not_specified]
-slack_lesser_than=default::[not_specified] -waived=default::[not_specified]
results
ckld-1=1 lutar-1=158 pdrc-190=5 timing-10=1
timing-17=4 timing-18=193 timing-2=13 timing-20=73
timing-24=24 timing-3=12 timing-4=13 timing-9=1
xdcb-5=4

report_power
command_line_options
-advisory=default::[not_specified] -append=default::[not_specified] -file=[specified] -format=default::text
-hier=default::power -hierarchical_depth=default::4 -l=default::[not_specified] -name=default::[not_specified]
-no_propagation=default::[not_specified] -return_string=default::[not_specified] -rpx=[specified] -verbose=default::[not_specified]
-vid=default::[not_specified] -xpe=default::[not_specified]
usage
airflow=0 (LFM) ambient_temp=25.0 (C) bi-dir_toggle=12.500000 bidir_output_enable=1.000000
board_layers=16+ (16 or more Layers) board_selection=Custom bram=0.511399 clocks=0.325985
confidence_level_clock_activity=High confidence_level_design_state=High confidence_level_device_models=High confidence_level_internal_activity=Medium
confidence_level_io_activity=Low confidence_level_overall=Low customer=TBD customer_class=TBD
devstatic=0.287170 die=xc7k420tffg1156-2 dsp_output_toggle=12.500000 dynamic=7.068806
effective_thetaja=0.2 enable_probability=0.990000 family=kintex7 ff_toggle=12.500000
flow_state=routed gtx=3.160528 heatsink=low (Low Profile) i/o=0.103777
input_toggle=12.500000 junction_temp=26.2 (C) logic=1.257783 mgtavcc_dynamic_current=1.518600
mgtavcc_static_current=0.010729 mgtavcc_total_current=1.529329 mgtavcc_voltage=1.000000 mgtavtt_dynamic_current=1.179975
mgtavtt_static_current=0.012677 mgtavtt_total_current=1.192652 mgtavtt_voltage=1.200000 mgtvccaux_dynamic_current=0.000000
mgtvccaux_static_current=0.000035 mgtvccaux_total_current=0.000035 mgtvccaux_voltage=1.800000 mmcm=0.090364
netlist_net_matched=NA off-chip_power=0.000000 on-chip_power=7.355976 output_enable=1.000000
output_load=5.000000 output_toggle=12.500000 package=ffg1156 pct_clock_constrained=172.000000
pct_inputs_defined=3 platform=nt64 pll=0.135111 process=typical
ram_enable=50.000000 ram_write=50.000000 read_saif=False set/reset_probability=0.000000
signal_rate=False signals=1.491935 simulation_file=None speedgrade=-2
static_prob=False temp_grade=commercial thetajb=2.4 (C/W) thetasa=2.4 (C/W)
toggle_rate=False user_board_temp=25.0 (C) user_effective_thetaja=0.2 user_junc_temp=26.2 (C)
user_thetajb=2.4 (C/W) user_thetasa=2.4 (C/W) vccadc_dynamic_current=0.000000 vccadc_static_current=0.020000
vccadc_total_current=0.020000 vccadc_voltage=1.800000 vccaux_dynamic_current=0.122155 vccaux_io_dynamic_current=0.000000
vccaux_io_static_current=0.000000 vccaux_io_total_current=0.000000 vccaux_io_voltage=1.800000 vccaux_static_current=0.038013
vccaux_total_current=0.160168 vccaux_voltage=1.800000 vccbram_dynamic_current=0.039009 vccbram_static_current=0.022513
vccbram_total_current=0.061523 vccbram_voltage=1.000000 vccint_dynamic_current=3.780268 vccint_static_current=0.128429
vccint_total_current=3.908697 vccint_voltage=1.000000 vcco12_dynamic_current=0.000000 vcco12_static_current=0.000000
vcco12_total_current=0.000000 vcco12_voltage=1.200000 vcco135_dynamic_current=0.000000 vcco135_static_current=0.000000
vcco135_total_current=0.000000 vcco135_voltage=1.350000 vcco15_dynamic_current=0.000000 vcco15_static_current=0.000000
vcco15_total_current=0.000000 vcco15_voltage=1.500000 vcco18_dynamic_current=0.000000 vcco18_static_current=0.000000
vcco18_total_current=0.000000 vcco18_voltage=1.800000 vcco25_dynamic_current=0.026038 vcco25_static_current=0.001000
vcco25_total_current=0.027038 vcco25_voltage=2.500000 vcco33_dynamic_current=0.009086 vcco33_static_current=0.001000
vcco33_total_current=0.010086 vcco33_voltage=3.300000 version=2018.3

report_utilization
clocking
bufgctrl_available=32 bufgctrl_fixed=0 bufgctrl_used=22 bufgctrl_util_percentage=68.75
bufhce_available=192 bufhce_fixed=0 bufhce_used=25 bufhce_util_percentage=13.02
bufio_available=32 bufio_fixed=0 bufio_used=0 bufio_util_percentage=0.00
bufmrce_available=16 bufmrce_fixed=0 bufmrce_used=0 bufmrce_util_percentage=0.00
bufr_available=32 bufr_fixed=0 bufr_used=0 bufr_util_percentage=0.00
mmcme2_adv_available=8 mmcme2_adv_fixed=0 mmcme2_adv_used=3 mmcme2_adv_util_percentage=37.50
plle2_adv_available=8 plle2_adv_fixed=0 plle2_adv_used=1 plle2_adv_util_percentage=12.50
dsp
dsps_available=1680 dsps_fixed=0 dsps_used=0 dsps_util_percentage=0.00
io_standard
blvds_25=0 diff_hstl_i=0 diff_hstl_i_18=0 diff_hstl_ii=0
diff_hstl_ii_18=1 diff_hsul_12=0 diff_mobile_ddr=0 diff_sstl135=0
diff_sstl135_r=0 diff_sstl15=0 diff_sstl15_r=0 diff_sstl18_i=0
diff_sstl18_ii=0 hstl_i=0 hstl_i_18=0 hstl_ii=0
hstl_ii_18=0 hsul_12=0 lvcmos12=0 lvcmos15=0
lvcmos18=1 lvcmos25=1 lvcmos33=1 lvds_25=1
lvttl=0 mini_lvds_25=0 mobile_ddr=0 pci33_3=0
ppds_25=0 rsds_25=0 sstl135=0 sstl135_r=0
sstl15=0 sstl15_r=0 sstl18_i=0 sstl18_ii=0
tmds_33=0
memory
block_ram_tile_available=835 block_ram_tile_fixed=0 block_ram_tile_used=573 block_ram_tile_util_percentage=68.62
ramb18_available=1670 ramb18_fixed=0 ramb18_used=0 ramb18_util_percentage=0.00
ramb36_fifo_available=835 ramb36_fifo_fixed=0 ramb36_fifo_used=573 ramb36_fifo_util_percentage=68.62
ramb36e1_only_used=573
primitives
bufg_functional_category=Clock bufg_used=21 bufgctrl_functional_category=Clock bufgctrl_used=1
bufh_functional_category=Clock bufh_used=25 carry4_functional_category=CarryLogic carry4_used=13330
fdce_functional_category=Flop & Latch fdce_used=54910 fdpe_functional_category=Flop & Latch fdpe_used=4020
fdre_functional_category=Flop & Latch fdre_used=80200 fdse_functional_category=Flop & Latch fdse_used=1372
gtxe2_channel_functional_category=IO gtxe2_channel_used=13 ibuf_functional_category=IO ibuf_used=123
ibufds_functional_category=IO ibufds_gte2_functional_category=IO ibufds_gte2_used=3 ibufds_used=2
icape2_functional_category=Others icape2_used=1 iddr_functional_category=IO iddr_used=1
ldce_functional_category=Flop & Latch ldce_used=73 lut1_functional_category=LUT lut1_used=8023
lut2_functional_category=LUT lut2_used=29577 lut3_functional_category=LUT lut3_used=40201
lut4_functional_category=LUT lut4_used=27652 lut5_functional_category=LUT lut5_used=32395
lut6_functional_category=LUT lut6_used=58286 mmcme2_adv_functional_category=Clock mmcme2_adv_used=3
muxf7_functional_category=MuxFx muxf7_used=1760 muxf8_functional_category=MuxFx muxf8_used=515
obuf_functional_category=IO obuf_used=106 obufds_functional_category=IO obufds_used=1
obuft_functional_category=IO obuft_used=42 oddr_functional_category=IO oddr_used=1
plle2_adv_functional_category=Clock plle2_adv_used=1 ramb36e1_functional_category=Block Memory ramb36e1_used=573
srl16e_functional_category=Distributed Memory srl16e_used=96 srlc32e_functional_category=Distributed Memory srlc32e_used=97
slice_logic
f7_muxes_available=149300 f7_muxes_fixed=0 f7_muxes_used=1760 f7_muxes_util_percentage=1.18
f8_muxes_available=74650 f8_muxes_fixed=0 f8_muxes_used=515 f8_muxes_util_percentage=0.69
lut_as_distributed_ram_fixed=0 lut_as_distributed_ram_used=0 lut_as_logic_available=260600 lut_as_logic_fixed=0
lut_as_logic_used=165979 lut_as_logic_util_percentage=63.69 lut_as_memory_available=108600 lut_as_memory_fixed=0
lut_as_memory_used=181 lut_as_memory_util_percentage=0.17 lut_as_shift_register_fixed=0 lut_as_shift_register_used=181
register_as_flip_flop_available=521200 register_as_flip_flop_fixed=1 register_as_flip_flop_used=140502 register_as_flip_flop_util_percentage=26.96
register_as_latch_available=521200 register_as_latch_fixed=0 register_as_latch_used=73 register_as_latch_util_percentage=0.01
slice_luts_available=260600 slice_luts_fixed=0 slice_luts_used=166160 slice_luts_util_percentage=63.76
slice_registers_available=521200 slice_registers_fixed=1 slice_registers_used=140575 slice_registers_util_percentage=26.97
lut_as_distributed_ram_fixed=0 lut_as_distributed_ram_used=0 lut_as_logic_available=260600 lut_as_logic_fixed=0
lut_as_logic_used=165979 lut_as_logic_util_percentage=63.69 lut_as_memory_available=108600 lut_as_memory_fixed=0
lut_as_memory_used=181 lut_as_memory_util_percentage=0.17 lut_as_shift_register_fixed=0 lut_as_shift_register_used=181
lut_in_front_of_the_register_is_unused_fixed=181 lut_in_front_of_the_register_is_unused_used=22818 lut_in_front_of_the_register_is_used_fixed=22818 lut_in_front_of_the_register_is_used_used=24276
register_driven_from_outside_the_slice_fixed=24276 register_driven_from_outside_the_slice_used=47094 register_driven_from_within_the_slice_fixed=47094 register_driven_from_within_the_slice_used=93481
slice_available=74650 slice_fixed=0 slice_registers_available=521200 slice_registers_fixed=0
slice_registers_used=140575 slice_registers_util_percentage=26.97 slice_used=55222 slice_util_percentage=73.97
slicel_fixed=0 slicel_used=34741 slicem_fixed=0 slicem_used=20481
unique_control_sets_available=74650 unique_control_sets_fixed=74650 unique_control_sets_used=7185 unique_control_sets_util_percentage=9.62
using_o5_and_o6_fixed=9.62 using_o5_and_o6_used=12 using_o5_output_only_fixed=12 using_o5_output_only_used=63
using_o6_output_only_fixed=63 using_o6_output_only_used=106
specific_feature
bscane2_available=4 bscane2_fixed=0 bscane2_used=0 bscane2_util_percentage=0.00
capturee2_available=1 capturee2_fixed=0 capturee2_used=0 capturee2_util_percentage=0.00
dna_port_available=1 dna_port_fixed=0 dna_port_used=0 dna_port_util_percentage=0.00
efuse_usr_available=1 efuse_usr_fixed=0 efuse_usr_used=0 efuse_usr_util_percentage=0.00
frame_ecce2_available=1 frame_ecce2_fixed=0 frame_ecce2_used=0 frame_ecce2_util_percentage=0.00
icape2_available=2 icape2_fixed=0 icape2_used=1 icape2_util_percentage=50.00
pcie_2_1_available=1 pcie_2_1_fixed=0 pcie_2_1_used=0 pcie_2_1_util_percentage=0.00
startupe2_available=1 startupe2_fixed=0 startupe2_used=0 startupe2_util_percentage=0.00
xadc_available=1 xadc_fixed=0 xadc_used=0 xadc_util_percentage=0.00

synthesis
command_line_options
-assert=default::[not_specified] -bufg=default::12 -cascade_dsp=default::auto -constrset=default::[not_specified]
-control_set_opt_threshold=default::auto -directive=default::default -fanout_limit=default::10000 -flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto -gated_clock_conversion=default::off -generic=default::[not_specified] -include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified] -max_bram=default::-1 -max_bram_cascade_height=default::-1 -max_dsp=default::-1
-max_uram=default::-1 -max_uram_cascade_height=default::-1 -mode=default::default -name=default::[not_specified]
-no_lc=default::[not_specified] -no_srlextract=default::[not_specified] -no_timing_driven=default::[not_specified] -part=xc7k420tffg1156-2
-resource_sharing=default::auto -retiming=default::[not_specified] -rtl=default::[not_specified] -rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified] -seu_protect=default::none -sfcu=default::[not_specified] -shreg_min_size=default::3
-top=fc7_top -verilog_define=default::[not_specified]
usage
elapsed=00:10:17s hls_ip=0 memory_gain=2092.879MB memory_peak=2456.355MB