Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 | Date : Mon May 18 09:38:15 2020 | Host : baby running 64-bit major release (build 9200) | Command : report_utilization -file fc7_top_utilization_placed.rpt -pb fc7_top_utilization_placed.pb | Design : fc7_top | Device : 7k420tffg1156-2 | Design State : Fully Placed ----------------------------------------------------------------------------------------------------------- Utilization Design Information Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type 2. Slice Logic Distribution 3. Memory 4. DSP 5. IO and GT Specific 6. Clocking 7. Specific Feature 8. Primitives 9. Black Boxes 10. Instantiated Netlists 1. Slice Logic -------------- +----------------------------+--------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +----------------------------+--------+-------+-----------+-------+ | Slice LUTs | 166160 | 0 | 260600 | 63.76 | | LUT as Logic | 165979 | 0 | 260600 | 63.69 | | LUT as Memory | 181 | 0 | 108600 | 0.17 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 181 | 0 | | | | Slice Registers | 140575 | 1 | 521200 | 26.97 | | Register as Flip Flop | 140502 | 1 | 521200 | 26.96 | | Register as Latch | 73 | 0 | 521200 | 0.01 | | F7 Muxes | 1760 | 0 | 149300 | 1.18 | | F8 Muxes | 515 | 0 | 74650 | 0.69 | +----------------------------+--------+-------+-----------+-------+ 1.1 Summary of Registers by Type -------------------------------- +-------+--------------+-------------+--------------+ | Total | Clock Enable | Synchronous | Asynchronous | +-------+--------------+-------------+--------------+ | 0 | _ | - | - | | 0 | _ | - | Set | | 0 | _ | - | Reset | | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | | 4020 | Yes | - | Set | | 54983 | Yes | - | Reset | | 1372 | Yes | Set | - | | 80200 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Slice Logic Distribution --------------------------- +--------------------------------------------+--------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +--------------------------------------------+--------+-------+-----------+-------+ | Slice | 55222 | 0 | 74650 | 73.97 | | SLICEL | 34741 | 0 | | | | SLICEM | 20481 | 0 | | | | LUT as Logic | 165979 | 0 | 260600 | 63.69 | | using O5 output only | 0 | | | | | using O6 output only | 135824 | | | | | using O5 and O6 | 30155 | | | | | LUT as Memory | 181 | 0 | 108600 | 0.17 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 181 | 0 | | | | using O5 output only | 63 | | | | | using O6 output only | 106 | | | | | using O5 and O6 | 12 | | | | | Slice Registers | 140575 | 0 | 521200 | 26.97 | | Register driven from within the Slice | 93481 | | | | | Register driven from outside the Slice | 47094 | | | | | LUT in front of the register is unused | 22818 | | | | | LUT in front of the register is used | 24276 | | | | | Unique Control Sets | 7185 | | 74650 | 9.62 | +--------------------------------------------+--------+-------+-----------+-------+ * Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. 3. Memory --------- +-------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------+------+-------+-----------+-------+ | Block RAM Tile | 573 | 0 | 835 | 68.62 | | RAMB36/FIFO* | 573 | 0 | 835 | 68.62 | | RAMB36E1 only | 573 | | | | | RAMB18 | 0 | 0 | 1670 | 0.00 | +-------------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 4. DSP ------ +-----------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------+------+-------+-----------+-------+ | DSPs | 0 | 0 | 1680 | 0.00 | +-----------+------+-------+-----------+-------+ 5. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ | Bonded IOB | 177 | 177 | 400 | 44.25 | | IOB Master Pads | 85 | | | | | IOB Slave Pads | 90 | | | | | Bonded IPADs | 32 | 32 | 98 | 32.65 | | Bonded OPADs | 26 | 26 | 64 | 40.63 | | PHY_CONTROL | 0 | 0 | 8 | 0.00 | | PHASER_REF | 0 | 0 | 8 | 0.00 | | OUT_FIFO | 0 | 0 | 32 | 0.00 | | IN_FIFO | 0 | 0 | 32 | 0.00 | | IDELAYCTRL | 0 | 0 | 8 | 0.00 | | IBUFDS | 2 | 2 | 384 | 0.52 | | GTXE2_COMMON | 0 | 0 | 8 | 0.00 | | GTXE2_CHANNEL | 13 | 13 | 32 | 40.63 | | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 | | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 | | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 | | IBUFDS_GTE2 | 3 | 3 | 16 | 18.75 | | ILOGIC | 1 | 1 | 400 | 0.25 | | IFF_IDDR_Register | 1 | 1 | | | | OLOGIC | 1 | 1 | 400 | 0.25 | | OUTFF_ODDR_Register | 1 | 1 | | | +-----------------------------+------+-------+-----------+-------+ 6. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ | BUFGCTRL | 22 | 0 | 32 | 68.75 | | BUFIO | 0 | 0 | 32 | 0.00 | | MMCME2_ADV | 3 | 0 | 8 | 37.50 | | PLLE2_ADV | 1 | 0 | 8 | 12.50 | | BUFMRCE | 0 | 0 | 16 | 0.00 | | BUFHCE | 25 | 0 | 192 | 13.02 | | BUFR | 0 | 0 | 32 | 0.00 | +------------+------+-------+-----------+-------+ 7. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------+------+-------+-----------+-------+ | BSCANE2 | 0 | 0 | 4 | 0.00 | | CAPTUREE2 | 0 | 0 | 1 | 0.00 | | DNA_PORT | 0 | 0 | 1 | 0.00 | | EFUSE_USR | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 1 | 0 | 2 | 50.00 | | PCIE_2_1 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 1 | 0.00 | +-------------+------+-------+-----------+-------+ 8. Primitives ------------- +---------------+-------+---------------------+ | Ref Name | Used | Functional Category | +---------------+-------+---------------------+ | FDRE | 80200 | Flop & Latch | | LUT6 | 58286 | LUT | | FDCE | 54910 | Flop & Latch | | LUT3 | 40201 | LUT | | LUT5 | 32395 | LUT | | LUT2 | 29577 | LUT | | LUT4 | 27652 | LUT | | CARRY4 | 13330 | CarryLogic | | LUT1 | 8023 | LUT | | FDPE | 4020 | Flop & Latch | | MUXF7 | 1760 | MuxFx | | FDSE | 1372 | Flop & Latch | | RAMB36E1 | 573 | Block Memory | | MUXF8 | 515 | MuxFx | | IBUF | 123 | IO | | OBUF | 106 | IO | | SRLC32E | 97 | Distributed Memory | | SRL16E | 96 | Distributed Memory | | LDCE | 73 | Flop & Latch | | OBUFT | 42 | IO | | BUFH | 25 | Clock | | BUFG | 21 | Clock | | GTXE2_CHANNEL | 13 | IO | | MMCME2_ADV | 3 | Clock | | IBUFDS_GTE2 | 3 | IO | | IBUFDS | 2 | IO | | PLLE2_ADV | 1 | Clock | | ODDR | 1 | IO | | OBUFDS | 1 | IO | | IDDR | 1 | IO | | ICAPE2 | 1 | Others | | BUFGCTRL | 1 | Clock | +---------------+-------+---------------------+ 9. Black Boxes -------------- +----------+------+ | Ref Name | Used | +----------+------+ 10. Instantiated Netlists ------------------------- +---------------------------+------+ | Ref Name | Used | +---------------------------+------+ | ngFEC_mgt | 12 | | sdpram_32x9_16x10 | 2 | | sdpram_16x10_32x9 | 2 | | gig_ethernet_pcs_pma_16_1 | 1 | +---------------------------+------+