Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 | Date : Mon May 18 09:38:16 2020 | Host : baby running 64-bit major release (build 9200) | Command : report_control_sets -verbose -file fc7_top_control_sets_placed.rpt | Design : fc7_top | Device : xc7k420t ------------------------------------------------------------------------------------ Control Set Information Table of Contents ----------------- 1. Summary 2. Histogram 3. Flip-Flop Distribution 4. Detailed Control Set Information 1. Summary ---------- +----------------------------------------------------------+-------+ | Status | Count | +----------------------------------------------------------+-------+ | Number of unique control sets | 7185 | | Unused register locations in slices containing registers | 20185 | +----------------------------------------------------------+-------+ 2. Histogram ------------ +--------+--------------+ | Fanout | Control Sets | +--------+--------------+ | 1 | 144 | | 2 | 127 | | 3 | 59 | | 4 | 1100 | | 5 | 512 | | 6 | 234 | | 7 | 70 | | 8 | 434 | | 9 | 28 | | 10 | 203 | | 11 | 463 | | 12 | 739 | | 13 | 28 | | 14 | 179 | | 15 | 17 | | 16+ | 2848 | +--------+--------------+ 3. Flip-Flop Distribution ------------------------- +--------------+-----------------------+------------------------+-----------------+--------------+ | Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | +--------------+-----------------------+------------------------+-----------------+--------------+ | No | No | No | 19509 | 6505 | | No | No | Yes | 3928 | 2148 | | No | Yes | No | 3005 | 1225 | | Yes | No | No | 39745 | 12803 | | Yes | No | Yes | 54998 | 17618 | | Yes | Yes | No | 19390 | 5788 | +--------------+-----------------------+------------------------+-----------------+--------------+ 4. Detailed Control Set Information ----------------------------------- +-------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+ | Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | +-------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+ | ngFEC/SFP_GEN[5].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__2_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__59_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[2] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/SFP_GEN[12].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__134_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/SFP_GEN[1].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__6_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/rx_reset_s_0 | 1 | 1 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 1 | 1 | | sys/clocks/ipb_clk_i | sys/spi/mosi_i_1_n_0 | | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__104_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/SFP_GEN[10].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_0 | | | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__104_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/SFP_GEN[11].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 1 | 1 | | ngFEC/SFP_GEN[2].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__5_n_0 | | | 1 | 1 | | ngFEC/SFP_GEN[6].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__1_n_0 | | | 1 | 1 | | sys/clocks/ipb_clk_i | | sys/ipb_sys_regs/regs_reg[11][12]_0 | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/Q[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/SFP_GEN[3].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__4_n_0 | | | 1 | 1 | | sys/clocks/ipb_clk_i | | sys/clocks/rst_ipb_reg_0 | 1 | 1 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/Q[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/SFP_GEN[4].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__3_n_0 | | | 1 | 1 | | sys/clocks/ipb_clk_i | sys/spi/ss_b | sys/clocks/rst_ipb | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/Q[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_1 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_2 | 1 | 1 | | ngFEC/SFP_GEN[7].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__0_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_3 | 1 | 1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[3] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/SFP_GEN[8].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/SFP_GEN[9].ngCCM_gbt/sec_jtag_tdi_o_reg_i_1__7_n_0 | | | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__44_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__44_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 1 | 1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__29_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_0 | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_1 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__29_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__74_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__14_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__74_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__14_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_LOCKED | | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[3] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[7] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[1] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | sys/ipb_sys_regs/regs_reg[11][12]_0 | | sys/clocks/rst_ipb_reg_0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[5] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__149_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__149_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__119_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[8] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[9] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[10] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[11] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[1] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__164_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__164_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[4] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[6] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__89_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__89_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[2] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__134_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__119_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 1 | 1 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__59_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 1 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 1 | | ngFEC/cdce_synch/CLK | | | 2 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r[1]_i_1_n_0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r2_reg[3]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].txResetDone_r2_reg[4]_1 | 1 | 2 | | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r[2]_i_1_n_0 | 1 | 2 | | ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r[3]_i_1__0_n_0 | 1 | 2 | | ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/DONE_o_reg_3 | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r[1]_i_1__1_n_0 | 1 | 2 | | ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_trst_o1 | | | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/tx_reset_i_3[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rx_reset_i_2[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_1 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rx_reset_i_0[0] | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/DONE_o_reg_4 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r2_reg[3]_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r[2]_i_1__2_n_0 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rx_reset_i_1[0] | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 1 | 2 | | ngFEC/ttcMgtXpoint_from_ibufdsCGtxe2_buf | | | 2 | 2 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r[1]_i_1__0_n_0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/DONE_o_reg_1 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r2_reg[3]_1 | 1 | 2 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r[2]_i_1__0_n_0 | 1 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[1] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/DONE_o_reg_2 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][2]_0[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r[3]_i_1_n_0 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/MGT_RESET.SYNC_ASYNC_RESET/reset_sync6_0 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/tx_reset_i_1[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][2]_1[0] | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/DONE_o_reg_2 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[2] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/DONE_o_reg_3 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/tx_reset_i_0[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][2]_3[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/tx_reset_i_2[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/AR[0] | 2 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_1 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_4[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rx_reset_i_3[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_5[0] | 1 | 2 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 2 | | sys/clocks/ipb_clk_i | | ngFEC/reset146_in | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_2[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/Q[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/DONE_o_reg_4 | 1 | 2 | | sys/clocks/ipb_clk_i | | ngFEC/g_resetl120 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_3[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/Q[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/DONE_o_reg_6 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/DONE_o_reg_5 | 1 | 2 | | sys/clocks/ipb_clk_i | | sys/clocks/rst_async | 2 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_1[0] | 1 | 2 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/Q[0] | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/DONE_o_reg_2 | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r[3]_i_1__1_n_0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r[2]_i_1__1_n_0 | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_1 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_2[0] | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/DONE_o_reg_3 | 1 | 2 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[3] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/DONE_o_reg_4 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_3[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_4[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_5[0] | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][2]_2[0] | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r[1]_i_1__2_n_0 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_0[0] | 1 | 2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].txResetDone_r[4]_i_1_n_0 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][3]_1[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_1 | 1 | 2 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/regs_reg[1][4]_0[0] | 1 | 2 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AR[0] | 1 | 2 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | | ngFEC/ctrl_regs_inst/rst_dmdt | 1 | 3 | | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[1].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/SFP_GEN[3].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[2].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/SFP_GEN[6].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[4].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[5].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/SFP_GEN[7].ngCCM_gbt/jtag_tck_o3_out | | | 2 | 3 | | ngFEC/SFP_GEN[8].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/SFP_GEN[9].ngCCM_gbt/jtag_tck_o3_out | | | 1 | 3 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxResetDone_r[1]_i_1__1_n_0 | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].rxResetDone_r[4]_i_1_n_0 | 1 | 3 | | ~ngFEC/ttcMgtXpoint_from_ibufdsCGtxe2_buf | | | 1 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxResetDone_r[2]_i_1__0_n_0 | 1 | 3 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxResetDone_r[3]_i_1_n_0 | 1 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 1 | 3 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxResetDone_r[3]_i_1__0_n_0 | 1 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/test_comm_cnt[0]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 3 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 3 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rst_ipb_reg | 3 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxResetDone_r[1]_i_1__0_n_0 | 1 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxResetDone_r[2]_i_1__2_n_0 | 1 | 3 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxResetDone_r[2]_i_1_n_0 | 1 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxResetDone_r[1]_i_1__2_n_0 | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxResetDone_r[3]_i_1__1_n_0 | 1 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AS[0] | 1 | 3 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/test_comm_cnt[0]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxResetDone_r[2]_i_1__1_n_0 | 1 | 3 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AS[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 2 | 3 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxResetDone_r[1]_i_1_n_0 | 1 | 3 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/test_comm_cnt2[0]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__109_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__110_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__112_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__111_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/RX_Clock_40MHz | ngFEC/SFP_GEN[10].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__115_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__7_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg0[15]_i_1__4_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__7_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__17_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__0_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__0_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/RX_Clock_40MHz | ngFEC/SFP_GEN[12].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__16_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__15_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__14_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[15]_i_1__6_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__13_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 4 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__23_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__22_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__12_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__11_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__7_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__8_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/RX_Clock_40MHz | ngFEC/SFP_GEN[11].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/g_resetl8 | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__6_n_0 | 1 | 4 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[4]_0[0] | sys/clocks/rst_ipb | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 4 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[1]_0 | | 1 | 4 | | sys/clocks/ipb_clk_i | sys/icap_if/confFsm/i | sys/clocks/rst_ipb | 1 | 4 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/stdWR31_out | sys/clocks/rst_ipb | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__5_n_0 | 2 | 4 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/error_rdack16_out | sys/clocks/rst_ipb | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 4 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u1/cnt0 | | 2 | 4 | | ngFEC/fabric_clk_div2 | | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__3_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__4_n_0 | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[15]_i_1__5_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__2_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__18_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__19_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_6 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__20_n_0 | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__29_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__21_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg0[15]_i_1__2_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 4 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__24_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__141_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__138_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_7 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__1_n_0 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_CPLLLOCK/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_tx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__137_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__10_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__10_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__154_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__151_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__146_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__145_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__136_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__144_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__135_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__143_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[15]_i_1__3_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__134_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__153_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__152_n_0 | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__142_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__133_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__2_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__2_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__50_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__132_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__47_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__46_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__45_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__44_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__43_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__10_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_2 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__42_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__41_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__40_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__0_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__39_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__49_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__48_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__38_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__9_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__3_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__3_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg0[15]_i_1__7_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__63_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__60_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__59_n_0 | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__58_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__139_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__56_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__55_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__129_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__54_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__53_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__52_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__123_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__62_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__61_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__51_n_0 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_1 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__1_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__1_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__75_n_0 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__140_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__130_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__64_n_0 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[15]_i_1__8_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__131_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__74_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__5_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__5_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__89_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__37_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__86_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__85_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__84_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__83_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__33_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__82_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__32_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__81_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__80_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__34_n_0 | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__79_n_0 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__31_n_0 | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__78_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__88_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__87_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[15]_i_1__10_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__77_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__30_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__6_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__6_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__102_n_0 | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__28_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__99_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__27_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__98_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__97_n_0 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__96_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__95_n_0 | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__94_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__36_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__93_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__25_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__92_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__91_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__101_n_0 | 4 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__35_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__100_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__26_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[15]_i_1__9_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__90_n_0 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__70_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__71_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_auto_phase_align_i/phalign_state_inferred__0/i__n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/run_phase_alignment_int_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__72_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__73_n_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/run_phase_alignment_int_reg_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__76_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__67_n_0 | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__65_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_5 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__66_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__108_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__107_n_0 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__106_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__105_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__104_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__114_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__113_n_0 | 3 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__103_n_0 | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg0[15]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__68_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__69_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__8_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__8_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__128_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__125_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__124_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__150_n_0 | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__149_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__148_n_0 | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_3 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__147_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__122_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__121_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__120_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__119_n_0 | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__118_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__117_n_0 | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[15]_i_1__1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__127_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__126_n_0 | 2 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__116_n_0 | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/RX_Clock_40MHz | ngFEC/CrossClock_DV_cnt/shiftA_4 | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[15]_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][3] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][8] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][20] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][11] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][15] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][12] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][22] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][9] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][6] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][5] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][18] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][4] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][13] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][1] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 3 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][14] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][16] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][19] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][2] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][10] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][0] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][17] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][23] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][7] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/cnter_reg[0][21] | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/resend/last_rx_last_reg | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/resend/pkt_resend_reg_0 | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/tx_main/addr_to_set_int[1]_i_1_n_0 | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/IS_2_5G_DISABLED_PRE_SHRINK.TRANSMITTER/NO_QSGMII_DATA.TXDATA[5]_i_1_n_0 | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/clocks/rst_125_reg_0 | sys/clocks/counting_reg | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/clocks/rst_125_reg_0 | sys/ipb/udp_if/tx_main/int_data_int[7]_i_1__0_n_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_ram_selector/send_i[3]_i_1__0_n_0 | sys/clocks/rst_125mhz | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_ram_selector/write_i_reg0 | sys/clocks/rst_125mhz | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/send_i_reg0 | sys/clocks/rst_125mhz | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/write_i_reg0 | sys/clocks/rst_125mhz | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/spi/SerialInIndex[3]_i_1_n_0 | sys/clocks/rst_125mhz | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 1 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/sync_data_valid/E[0] | sys/clocks/rst_eth | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 2 | 4 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/sync_cplllock/E[0] | sys/clocks/rst_eth | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/c_state | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/statemachine.core_cmd[3]_i_1__57_n_0 | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/clear | 2 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/clear | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_8 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_7 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_6 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_2 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_5 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_1 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_0 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_4 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_11 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_10 | 3 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_3 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0 | 2 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/core_cmd1__0_9 | 1 | 4 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__97_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__83_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__84_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__96_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__95_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__94_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__110_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__93_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__85_n_0 | | 4 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__92_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local18_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__112_n_0 | | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local0_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__86_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__111_n_0 | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__89_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__91_n_0 | | 2 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/RX_Clock_40MHz | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local12_out | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__115_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__101_n_0 | | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local10_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local4_out | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/E[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__100_n_0 | | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__74_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__17_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__109_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local2_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local8_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__90_n_0 | | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/RX_Clock_40MHz | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__16_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local20_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__64_n_0 | | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__70_n_0 | | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__15_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/AR[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__14_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local6_out | 3 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/E[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__13_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/ctrl_regs_inst/AS[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__23_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__75_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__22_n_0 | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[11].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/AS[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/ctrl_regs_inst/AS[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__12_n_0 | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[12].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/AS[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__51_n_0 | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/ctrl_regs_inst/AS[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__11_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[10].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/AS[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__8_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__7_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__71_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__61_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local22_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__6_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__5_n_0 | | 4 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__72_n_0 | | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__62_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/AR[1] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__3_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 3 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/E[0] | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__2_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__4_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__18_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/reset_local14_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__19_n_0 | | 4 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/RX_Clock_40MHz | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__52_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__20_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__73_n_0 | | 2 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__21_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__53_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__24_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 4 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/E[0] | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_1 | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 3 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__54_n_0 | | 3 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[9].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__141_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__138_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__76_n_0 | | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__1_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__67_n_0 | | 4 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/RX_Clock_40MHz | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__55_n_0 | | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__137_n_0 | | 4 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__65_n_0 | | 3 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__66_n_0 | | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__136_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__108_n_0 | | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__135_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__107_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__106_n_0 | | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 5 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/E[0] | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_0 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__134_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__133_n_0 | | 2 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_2[0] | 1 | 5 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[1].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_2[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__56_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__132_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__57_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1_n_0 | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__105_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__104_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__58_n_0 | | 5 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__10_n_0 | | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__0_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__59_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__114_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__9_n_0 | | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__60_n_0 | | 2 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/E[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_3 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__113_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__139_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__63_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[5].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__129_n_0 | | 4 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/udpram_end_addr_int[12]_i_2_n_0 | sys/ipb/udp_if/tx_main/udpram_end_addr_int[12]_i_1_n_0 | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__140_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__123_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__38_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__48_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__130_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__103_n_0 | | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/E[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_2 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__131_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__37_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__49_n_0 | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[6].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__34_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__33_n_0 | | 4 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[2].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__39_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__32_n_0 | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__31_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 4 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/udpram_end_addr_int[4]_i_2_n_0 | sys/ipb/udp_if/tx_main/udpram_end_addr_int[4]_i_1_n_0 | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__30_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__68_n_0 | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__29_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__40_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/E[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/rx_reset_s_0 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__28_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__41_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__27_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__69_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__42_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__36_n_0 | | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__25_n_0 | | 4 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__26_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__35_n_0 | | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__43_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__128_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__125_n_0 | | 3 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/E[0] | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_1 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__44_n_0 | | 4 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[7].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 5 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/g_pm[8].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__124_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__150_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__149_n_0 | | 3 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__148_n_0 | | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local8_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__8_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__8_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__45_n_0 | | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local4_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__147_n_0 | | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local22_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local16_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__46_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__122_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local12_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/E[0] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__121_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local0_out | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[4].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local20_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local6_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__47_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__120_n_0 | | 4 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 4 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/RX_Clock_40MHz | | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local2_out | 4 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__50_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__119_n_0 | | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local18_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/reset_local14_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__118_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/E[0] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/bus_status_ctrl.ial_i_1__11_n_0 | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__117_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__127_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__126_n_0 | | 3 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__116_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 4 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 4 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__142_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 3 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 5 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 3 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 2 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/counting_reg_0 | sys/ipb/udp_if/tx_main/counter[4]_i_1_n_0 | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__152_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__153_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__143_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local12_out | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local0_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local2_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__144_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local6_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local16_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local4_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__145_n_0 | | 5 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local22_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local18_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local14_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/reset_local20_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/bus_status_ctrl.ial_i_1__11_n_0 | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__146_n_0 | | 3 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__151_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 3 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/status_buffer/async_event | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/E[0] | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__154_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 3 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/consecCorrectHeaders0 | | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 2 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/clock_crossing_if/SR[0] | | 1 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 2 | 5 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[3].phase_mon/inh_cntr | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/status_buffer/async_data[4]_i_1_n_0 | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/ARP/set_addr | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/g_resetl12 | 5 | 5 | | sys/clocks/userclk | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/enablealign | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 3 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/sel | sys/eth/mac/i_mac/gap_cnt0 | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 3 | 5 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[1]_0 | sys/ipb/trans/sm/addr_reg[0]_2 | 2 | 5 | | sys/clocks/ipb_clk_i | sys/icap_if/confFsm/FSM_onehot_state[4]_i_1__1_n_0 | sys/clocks/rst_ipb | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 5 | 5 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/FSM_sequential_ctrlfsm[4]_i_1_n_0 | sys/clocks/rst_ipb | 3 | 5 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/ctrlfsmprev[4]_i_1_n_0 | sys/clocks/rst_ipb | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/bus_status_ctrl.ial_i_1__11_n_0 | 2 | 5 | | sys/clocks/ipb_clk_i | sys/i2c_eep/FSM_onehot_fsm[4]_i_1_n_0 | sys/clocks/rst_ipb | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 1 | 5 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/spi/SerialOutIndex[3]_i_1_n_0 | sys/clocks/rst_125mhz | 1 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 4 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/reset_local20_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/init_wait_count[4]_i_1__0_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/init_wait_count[4]_i_1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 1 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local22_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__82_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local12_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local14_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__81_n_0 | | 3 | 5 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local0_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__80_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local2_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__79_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__78_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local6_out | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local16_out | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__88_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__87_n_0 | | 2 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local10_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local18_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__77_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local8_out | 3 | 5 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/reset_local4_out | 2 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__102_n_0 | | 3 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__99_n_0 | | 4 | 5 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__98_n_0 | | 3 | 5 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 5 | 6 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_B/new_edge_sreg | ngFEC/dmdt_meas/sync_reset_dmtdclk/SR[0] | 2 | 6 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_A/new_edge_sreg | ngFEC/dmdt_meas/sync_reset_dmtdclk/SR[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_2[0] | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 5 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/AR[0] | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 5 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_2[0] | 1 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 6 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_2[0] | 2 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer[5]_i_1_n_0 | 1 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/AR[0] | 1 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 3 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 6 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 5 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_2[0] | 1 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer[5]_i_1_n_0 | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_2[0] | 1 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 4 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer[5]_i_1_n_0 | 1 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/AR[0] | 1 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].rxBitSlipControl/timer0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].rxBitSlipControl/timer[5]_i_1_n_0 | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].txResetDone_r2_reg[3]_2[0] | 1 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/syndrome[4]_i_1_n_0 | | 3 | 6 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].txResetDone_r2_reg[1]_2[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/test_comm_cnt[0]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 4 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/AR[0] | 2 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/transceiver_inst/reset_wtd_timer/counter_stg1[5]_i_1_n_0 | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | ngFEC/CLKFBIN | | ngFEC/ctrl_regs_inst/rst_dmdt | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 6 | | ngFEC/CLKFBIN | | ngFEC/DTC/Inst_TTC_decoder/clear | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 4 | 6 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_1[0] | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 6 | | sys/clocks/ipb_clk_i | sys/spi/cpol0 | | 4 | 6 | | sys/clocks/ipb_clk_i | sys/spi/counter0 | sys/spi/counter[5]_i_1_n_0 | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][10]_0[0] | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/FSM_onehot_state[5]_i_1_n_0 | sys/clocks/rst_ipb | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 6 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].txResetDone_r2_reg[2]_2[0] | 1 | 6 | | ngFEC/cdce_synch/CLK | | ngFEC/ctrl_regs_inst/reset | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 6 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/RARP_block/tick_reg_0 | sys/ipb/udp_if/clock_crossing_if/SR[0] | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 3 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 6 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/set_addr_buf1 | | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].rxBitSlipControl/timer[5]_i_1_n_0 | 2 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 5 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 6 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/payload_len[5]__0_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 1 | 6 | | sys/clocks/ipb_clk_i | | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 5 | 6 | | sys/clocks/ipb_clk_i | sys/i2c_eep/addrcnt | sys/clocks/rst_ipb | 2 | 6 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/nbCheckedHeaders0 | | 4 | 7 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/nbCheckedHeaders0 | | 2 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/sel | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/wait_time_cnt0_0 | 3 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 3 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/init_wait_count[6]_i_1__0_n_0 | sys/clocks/rst_eth | 1 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/pkt_data[22]__0_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 7 | | sys/clocks/ipb_clk_i | sys/ipb/trans/iface/FSM_onehot_state[6]_i_1_n_0 | sys/clocks/rst_ipb | 2 | 7 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/i___12_n_0 | sys/i2c_m/core/u2/bytetowrite[7]_i_1_n_0 | 2 | 7 | | sys/clocks/ipb_clk_i | sys/i2c_eep/txdata | sys/clocks/rst_ipb | 2 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u1/rdbyte0 | sys/i2c_m/core/i___8_n_0 | 2 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sel | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_time_cnt[6]_i_1__0_n_0 | 3 | 7 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_time_cnt[6]_i_1_n_0 | 2 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/mac/i_mac/emacphytxd[7] | 2 | 7 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/nbCheckedHeaders0 | | 1 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/nbCheckedHeaders0 | | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 3 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 7 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/nbCheckedHeaders0 | | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/nbCheckedHeaders0 | | 1 | 7 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 3 | 7 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/init_wait_count[6]_i_1_n_0 | sys/clocks/rst_eth | 2 | 7 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/nbCheckedHeaders0 | | 3 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 1 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/nbCheckedHeaders0 | | 2 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/nbCheckedHeaders0 | | 2 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 1 | 7 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 6 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/nbCheckedHeaders0 | | 2 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 3 | 7 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 7 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 3 | 7 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 7 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__16_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__111_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__13_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__142_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__164_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__14_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__21_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__20_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__19_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__166_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__89_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__50_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 1 | 8 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 2 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 6 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__47_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 5 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 5 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 6 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__46_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/udp_len_int[7] | | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__45_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 5 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 6 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__44_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 1 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 6 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/brcst_data[7]_i_1_n_0 | | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__43_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__25_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__35_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__36_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__42_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__37_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ip_cksum_int[15] | | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__26_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__41_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ip_cksum_int[7] | | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__27_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 1 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ip_len_int[7] | | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__40_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 1 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ip_len_int[15] | | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__28_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__29_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__39_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__30_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__31_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__32_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__49_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__27_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__33_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__34_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__28_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__79_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__48_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__80_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__81_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__35_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__140_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__38_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__82_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__122_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__34_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__74_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__90_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__33_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__73_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__123_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__72_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__63_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__36_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__71_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__129_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__29_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__78_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__60_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__30_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__130_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__75_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__31_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__139_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__59_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__32_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__76_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__9_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__10_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__58_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__40_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__77_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__70_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__57_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__39_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__158_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__69_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__131_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__56_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__38_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__132_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__133_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__134_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__55_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__37_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__135_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 8 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__136_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__0_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__137_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__54_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__91_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__138_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/special_int[7]_i_2_n_0 | sys/ipb/udp_if/tx_main/special_int[7]_i_1_n_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__141_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__53_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__13_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__24_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__21_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__52_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 8 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__20_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__19_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__62_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__1_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__2_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__3_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__61_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__4_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__5_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__6_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__51_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__7_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__8_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__11_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__12_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__22_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__23_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__74_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__75_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__64_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__14_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__15_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__65_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__16_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__66_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__67_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__17_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__18_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__68_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__89_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__115_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__60_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__112_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__86_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__59_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__58_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__57_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__85_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__111_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__64_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__110_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__84_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__97_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__61_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__62_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__83_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 8 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | | | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__98_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__109_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__82_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__163_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__157_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 6 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__93_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__81_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__18_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__80_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__0_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__105_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__7_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__145_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__79_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__155_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__6_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__78_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__162_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__104_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__88_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__5_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__139_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__87_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__159_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__103_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__8_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__77_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__156_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__2_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__84_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__3_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__106_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__102_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__160_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__148_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__99_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__99_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__161_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__4_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__98_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__12_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__11_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__100_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__97_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__10_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__96_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__154_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__101_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 4 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u1/wrbyte0 | | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__95_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[11]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__153_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__102_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__94_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__141_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__41_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[13]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__42_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__93_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[15]0 | | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[17]0 | | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[19]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__49_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__92_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[21]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__110_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__48_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__91_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[33]0 | | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__47_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[35]0 | | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__101_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[37]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__50_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__109_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[39]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__43_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__100_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__142_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__44_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__45_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__90_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[1]0 | | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__46_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__143_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[5]0 | | 1 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/E[0] | sys/clocks/rst_ipb | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__140_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__54_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__70_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[41]0 | | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__108_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__53_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__69_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 1 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[7]0 | | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[9]0 | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__144_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__52_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[43]0 | | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__107_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__71_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__51_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__72_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__73_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__15_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 4 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_eep/player_rxdata_reg[3]0 | | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u1/byteread0 | | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__83_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[0]_6 | | 3 | 8 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/ipb_from_master[ipb_strobe] | sys/ipb/trans/sm/timer0 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__152_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__76_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ipbus_hdr_int[15]_i_1_n_0 | sys/clocks/rst_125mhz | 2 | 8 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/words_done0 | sys/ipb/trans/sm/FSM_onehot_state_reg[3]_1[0] | 2 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 5 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 6 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/mac_tx_data_int[7]_i_2_n_0 | sys/ipb/udp_if/tx_main/mac_tx_data_int[7]_i_1_n_0 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/words_todo[7]_i_1_n_0 | | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__66_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__67_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__65_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__25_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__135_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__151_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__136_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__24_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__108_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__137_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__146_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/ARP/load_buf_int | sys/eth/mac/i_mac/set_addr_int1 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__107_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__138_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__23_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__106_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/buf_to_load_int[7]_i_1__0_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__150_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__105_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__95_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/buf_to_load_int[15]_i_1__0_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__104_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/int_data_int[7]_i_2_n_0 | sys/ipb/udp_if/rx_packet_parser/low_addr_i_reg | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__130_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ipbus_hdr_int[7]_i_1_n_0 | sys/clocks/rst_125mhz | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__114_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/payload_len[15]_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__129_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__149_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__113_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/payload_len[13]__0_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__128_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__103_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/payload_len[7]_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__94_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/ping/buf_to_load_int[7]_i_1__1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__165_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__68_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 1 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_packet_parser/shift_buf1 | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_packet_parser/pkt_payload_drop_sig_reg_0 | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_packet_parser/pkt_drop_reg__2_0 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_packet_parser/p_0_in | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/ping/ping_data[7]_i_1_n_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__147_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ipbus_hdr_int[23]_i_1_n_0 | sys/clocks/rst_125mhz | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/mac/i_mac/tx_d[7]_i_1_n_0 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__128_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/mac/i_mac/status_we0 | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__96_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__88_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__125_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__127_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_RX_IN | 5 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/ping/buf_to_load_int[15]_i_1__1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__124_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__87_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__86_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__134_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__150_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__85_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 3 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 5 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[37]__2_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[37]__2 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__149_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__92_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__22_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__148_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__131_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 4 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/mmcm_lock_count[7]_i_2_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__132_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__147_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 1 | 8 | | ngFEC/CLKFBIN | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/SOFT_RESET_TX_IN | 6 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[41]__0 | sys/ipb/udp_if/rx_packet_parser/pkt_data[7]__1_i_1_n_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/txr[7]_i_2__154_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__146_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__133_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[33]_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[33] | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__126_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__121_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__125_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__151_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__121_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 3 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__120_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/mmcm_lock_count[7]_i_2__0_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/sync_mmcm_lock_reclocked/SR[0] | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/status_rep[7]_i_1__122_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/status_rep[7]_i_1__123_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__119_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__124_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/pay_len[4] | | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__145_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__118_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/status_rep[7]_i_1__116_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__115_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/status_rep[7]_i_1__114_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__117_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__144_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 1 | 8 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/udp_len_int[15] | | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/status_rep[7]_i_1__113_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__127_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/status_rep[7]_i_1__120_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__143_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/status_rep[7]_i_1__117_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__126_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 1 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/status_rep[7]_i_1__118_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/status_rep[7]_i_1__55_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/status_rep[7]_i_1__26_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__56_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__153_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 1 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__116_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__119_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/status_rep[7]_i_1__17_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 4 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/status_rep[7]_i_1__63_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 3 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/status_rep[7]_i_1__112_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 4 | 8 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__152_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 8 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/status_rep[7]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 4 | 8 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 2 | 9 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | sys/clocks/ipb_clk_i | sys/ipb/trans/iface/raddr0 | sys/ipb/udp_if/clock_crossing_if/dinit | 3 | 9 | | sys/clocks/ipb_clk_i | sys/ipb/trans/iface/waddr03_out | sys/ipb/trans/iface/waddr | 3 | 9 | | ngFEC/CLKFBIN | | ngFEC/g_resetl120 | 2 | 9 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/byteswap_int9_out | sys/clocks/rst_125mhz | 4 | 9 | | sys/osc125_a_bufg_0 | | | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 3 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 9 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/AR[0] | 3 | 9 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 3 | 9 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 3 | 9 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/ARP/arp_send_reg_0 | 2 | 9 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/ngFEC_mgt_i/cpll_railing0_i/use_bufh_cpll.refclk_buf_n_0 | | | 2 | 9 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[7].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[7].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[6].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 4 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[6].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/g_pm[8].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | ngFEC/CLKFBIN | ngFEC/PSDONE | ngFEC/g_resetl120 | 3 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/g_pm[8].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[9].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[9].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_0[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[5].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 3 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[5].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/test_comm_cnt[0]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 2 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[11].phase_mon/PS_max | ngFEC/ctrl_regs_inst/AS[0] | 2 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[11].phase_mon/PS_min | ngFEC/ctrl_regs_inst/AS[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[12].phase_mon/PS_max | ngFEC/ctrl_regs_inst/AS[0] | 2 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[12].phase_mon/PS_min | ngFEC/ctrl_regs_inst/AS[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_4[0] | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[4].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 5 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[10].phase_mon/PS_min | ngFEC/ctrl_regs_inst/AS[0] | 3 | 10 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[10].phase_mon/PS_max | ngFEC/ctrl_regs_inst/AS[0] | 3 | 10 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/timer0 | | 4 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/g_pm[4].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 10 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[1].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_2[0] | 3 | 10 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[1].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_2[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/spi/E[0] | sys/uc_if/uc_trans/addr0 | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/uc_pipe_if/r_addr_pipe[9]_i_1_n_0 | sys/uc_if/uc_pipe_if/SR[0] | 2 | 10 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/uc_pipe_if/w_addr_pipe | sys/uc_if/uc_pipe_if/SS[0] | 2 | 10 | | sys/clocks/ipb_clk_i | sys/spi/timer[9]_i_1__1_n_0 | | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[2].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 3 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/g_pm[2].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 3 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[3].phase_mon/PS_max | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 10 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/g_pm[3].phase_mon/PS_min | ngFEC/ctrl_regs_inst/regs_reg[1][0]_1[0] | 2 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 9 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 8 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 7 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 4 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 6 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 5 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 9 | 10 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__0_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AR[0] | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/E[0] | sys/ipb/udp_if/tx_main/SR[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__134_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 3 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 6 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__44_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 7 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AR[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 3 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 3 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__8_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__8_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__164_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 6 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__5_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AR[0] | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 3 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 3 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 2 | 11 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__14_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 4 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 3 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 7 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__29_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__3_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AR[0] | 3 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__149_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 8 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/AR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AR[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__4_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AR[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_0 | sys/ipb/udp_if/payload/SR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__2_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AR[0] | 7 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AR[0] | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__59_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AR[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 7 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__1_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 5 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__6_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AR[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AR[0] | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__74_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_0 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_4 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_3 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_3 | 3 | 11 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_1 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_1 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_3 | 2 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__119_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rx_cdrlock_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/SR[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/addr_int[10]__0_i_1_n_0 | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__89_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep_2 | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][15]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_2 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_1 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__104_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/reliable_data | sys/ipb/udp_if/rx_packet_parser/reliable_data[11]_i_1_n_0 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/unreliable_data[29] | sys/ipb/udp_if/rx_reset_block/rx_reset | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__2_0 | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_o_reg_rep__0_4 | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/E[0] | | 4 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[3][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/E[0] | | 5 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/bram_array[15].skip_SFP_SEC.synch_reset_reg[15] | | 6 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/E[0] | | 3 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[1][15]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 2 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/E[0] | | 7 | 11 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter[7][31]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 3 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/E[0] | | 6 | 11 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_16 | sys/addr_reg[17]_10 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_292 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_29 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_416 | sys/addr_reg[17]_218 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_366 | sys/addr_reg[16]_319 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 7 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_414 | sys/addr_reg[17]_215 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_324 | sys/addr_reg[17]_170 | 3 | 12 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/AR[1] | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_41 | sys/addr_reg[17]_242 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_402 | sys/addr_reg[17]_210 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/E[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_4 | sys/addr_reg[16]_208 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_398 | sys/addr_reg[17]_206 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_353 | sys/addr_reg[17]_258 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_317 | sys/addr_reg[24]_184 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_392 | sys/addr_reg[17]_260 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 9 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_395 | sys/addr_reg[24]_196 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_387 | sys/addr_reg[16]_328 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_38 | sys/addr_reg[24]_137 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_385 | sys/addr_reg[24]_194 | 3 | 12 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/test_comm_cnt2[0]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_34 | sys/addr_reg[24]_140 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_297 | sys/addr_reg[17]_155 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_356 | sys/addr_reg[24]_190 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_342 | sys/addr_reg[16]_314 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_383 | sys/addr_reg[16]_323 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_380 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_37 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_36 | sys/addr_reg[16]_220 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_379 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_37 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_375 | sys/addr_reg[17]_195 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_285 | sys/addr_reg[17]_150 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_301 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_29 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_344 | sys/addr_reg[16]_311 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_389 | sys/addr_reg[24]_191 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_405 | sys/addr_reg[16]_331 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_377 | sys/addr_reg[17]_198 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_381 | sys/addr_reg[16]_326 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_409 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_41 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_418 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_41 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_327 | sys/addr_reg[16]_307 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_419 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_41 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_314 | sys/addr_reg[17]_256 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_288 | sys/addr_reg[16]_295 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_32 | sys/addr_reg[16]_215 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_331 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_33 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_307 | sys/addr_reg[24]_182 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_311 | sys/addr_reg[24]_179 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_350 | sys/addr_reg[24]_185 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_359 | sys/addr_reg[17]_186 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_30 | sys/addr_reg[16]_218 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_302 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_29 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_275 | sys/addr_reg[17]_254 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_299 | sys/addr_reg[17]_158 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_303 | sys/addr_reg[16]_302 | 6 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_346 | sys/addr_reg[24]_188 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_338 | sys/addr_reg[17]_178 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_28 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_1 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_278 | sys/addr_reg[24]_178 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_29 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_1 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_281 | sys/addr_reg[17]_146 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_336 | sys/addr_reg[17]_175 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_348 | sys/addr_reg[16]_316 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_363 | sys/addr_reg[17]_190 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_305 | sys/addr_reg[16]_299 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_309 | sys/addr_reg[16]_304 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_340 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_33 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_320 | sys/addr_reg[17]_166 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_341 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_33 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_63 | sys/addr_reg[17]_35 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_444 | sys/addr_reg[16]_343 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_457 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_45 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_420 | sys/addr_reg[16]_338 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_428 | sys/addr_reg[24]_197 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_51 | sys/addr_reg[17]_30 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_69 | sys/addr_reg[16]_230 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_73 | sys/addr_reg[24]_146 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_6 | sys/addr_reg[24]_131 | 3 | 12 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 7 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_422 | sys/addr_reg[16]_335 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_8 | sys/addr_reg[17]_240 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_453 | sys/addr_reg[17]_235 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_426 | sys/addr_reg[16]_340 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_370 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_37 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_434 | sys/addr_reg[24]_202 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_424 | sys/addr_reg[24]_200 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_227 | sys/addr_reg[16]_275 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_437 | sys/addr_reg[17]_226 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_54 | sys/addr_reg[16]_223 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_71 | sys/addr_reg[16]_227 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_47 | sys/addr_reg[17]_26 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_75 | sys/addr_reg[16]_232 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_97 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_9 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_225 | sys/addr_reg[16]_278 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_448 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_45 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_223 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_21 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_93 | sys/addr_reg[16]_235 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_58 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_5 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_431 | sys/addr_reg[17]_262 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_455 | sys/addr_reg[17]_238 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_67 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_5 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_65 | sys/addr_reg[17]_38 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_77 | sys/addr_reg[24]_143 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_86 | sys/addr_reg[17]_46 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_458 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_45 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_80 | sys/addr_reg[17]_244 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_68 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_5 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_441 | sys/addr_reg[17]_230 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_83 | sys/addr_reg[24]_148 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_90 | sys/addr_reg[17]_50 | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_214 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_21 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_203 | sys/addr_reg[17]_106 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_184 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_17 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_200 | sys/addr_reg[24]_166 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_175 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_17 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_161 | sys/addr_reg[24]_160 | 5 | 12 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_192 | sys/addr_reg[16]_268 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_147 | sys/addr_reg[16]_254 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_186 | sys/addr_reg[16]_266 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_171 | sys/addr_reg[16]_259 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_2 | sys/addr_reg[24]_134 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_132 | sys/addr_reg[16]_247 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_185 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_17 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_224 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_21 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_129 | sys/addr_reg[17]_70 | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_149 | sys/addr_reg[16]_251 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_272 | sys/addr_reg[24]_173 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_270 | sys/addr_reg[16]_292 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_268 | sys/addr_reg[24]_176 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_145 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_13 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_210 | sys/addr_reg[16]_271 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_164 | sys/addr_reg[17]_86 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_44 | sys/addr_reg[24]_142 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_266 | sys/addr_reg[16]_287 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_264 | sys/addr_reg[16]_290 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_253 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_25 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_151 | sys/addr_reg[24]_158 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_260 | sys/addr_reg[17]_138 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_263 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_25 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_262 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_25 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_26 | sys/addr_reg[17]_18 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_182 | sys/addr_reg[17]_98 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_258 | sys/addr_reg[17]_135 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_249 | sys/addr_reg[16]_283 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_219 | sys/addr_reg[17]_115 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_197 | sys/addr_reg[17]_250 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/E[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_18 | sys/addr_reg[16]_211 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_236 | sys/addr_reg[17]_252 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_155 | sys/addr_reg[24]_155 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_143 | sys/addr_reg[17]_78 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_246 | sys/addr_reg[17]_130 | 6 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_242 | sys/addr_reg[17]_126 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_233 | sys/addr_reg[24]_167 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_158 | sys/addr_reg[17]_248 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_207 | sys/addr_reg[17]_110 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 12 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/GBT_Word_to_ngCCM_Pins_test_comm | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[2] | 9 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_153 | sys/addr_reg[16]_256 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_194 | sys/addr_reg[24]_161 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_188 | sys/addr_reg[16]_263 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_136 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_13 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_221 | sys/addr_reg[17]_118 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_180 | sys/addr_reg[17]_95 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_14 | sys/addr_reg[17]_6 | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_146 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_13 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_24 | sys/addr_reg[17]_15 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_239 | sys/addr_reg[24]_172 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_231 | sys/addr_reg[16]_280 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_141 | sys/addr_reg[17]_75 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_168 | sys/addr_reg[17]_90 | 4 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_190 | sys/addr_reg[24]_164 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_21 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_1 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_229 | sys/addr_reg[24]_170 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_11 | sys/addr_reg[24]_136 | 2 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_108 | sys/addr_reg[16]_242 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0 | sys/addr_reg[16]_206 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_125 | sys/addr_reg[17]_66 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_122 | sys/addr_reg[24]_154 | 5 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_119 | sys/addr_reg[17]_246 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_114 | sys/addr_reg[16]_244 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_116 | sys/addr_reg[24]_149 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_110 | sys/addr_reg[16]_239 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_107 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_9 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_104 | sys/addr_reg[17]_58 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_102 | sys/addr_reg[17]_55 | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_0 | sys/addr_reg[16]_203 | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_106 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_9 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | sys/server_addr_o0_112 | sys/addr_reg[24]_152 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/E[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/spi/timer0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/addr_int[12]_i_1_n_0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | sys/spi/prescaler[11]_i_1_n_0 | sys/clocks/rst_ipb | 4 | 12 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/pkt_data[46]__2_i_1_n_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/reset_wtd_timer/counter_stg30 | sys/eth/phy/U0/transceiver_inst/reset_wtd_timer/counter_stg1[5]_i_1_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/reset_wtd_timer/eqOp | sys/eth/phy/U0/transceiver_inst/reset_wtd_timer/counter_stg1[5]_i_1_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/E[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[12].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 5 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr0 | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr0 | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr0 | | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 7 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/E[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 2 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/E[0] | | 4 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/SR[0] | 3 | 12 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/ngccm_wr_reg[0]_0[0] | | 3 | 12 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/test_comm_cnt[0]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 3 | 13 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/test_comm_cnt[0]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 4 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_ram_mux/E[0] | sys/clocks/rst_125mhz | 3 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/emacclientrxdvld_reg | | 5 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_ram_mux/ram_ready_int_reg_0[0] | sys/clocks/rst_125mhz | 3 | 13 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 4 | 13 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/ping/end_addr_i[12]_i_2_n_0 | sys/ipb/udp_if/ping/end_addr_i[12]_i_1_n_0 | 5 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/FSM_onehot_STATE[12]_i_1_n_0 | 3 | 13 | | sys/clocks/userclk | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[0]_7 | sys/ipb/trans/sm/addr_reg[0]_3 | 3 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/end_addr_int[12]_i_1_n_0 | | 5 | 13 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/internal_ram_selector/SR[0] | 3 | 13 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | sys/clocks/ipb_clk_i | sys/icap_if/confFsm/DATA_O[29]_i_1_n_0 | sys/clocks/rst_ipb | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_2__0_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/wait_bypass_count[0]_i_1__0_n_0 | 4 | 13 | | ngFEC/fabric_clk_PS | | | 3 | 13 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/AR[1] | 4 | 14 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 4 | 14 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_1 | 6 | 14 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_0 | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_3 | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_2 | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].patternSearch/rx_reset_s_0 | 5 | 14 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_1 | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 5 | 14 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].patternSearch/rx_reset_s_1 | 6 | 14 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/SYNC_STATUS_REG0 | 2 | 14 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/rxram_end_addr_int[12]_i_1_n_0 | sys/clocks/rst_125mhz | 3 | 14 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rx_cdrlock_counter[0]_i_1_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/gt0_gtrxreset_in1_out | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 2 | 14 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/DMTD_A/U_sync_tag_strobe/E[0] | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/DMTD_A/U_sync_tag_strobe/FSM_sequential_pd_state_reg[0][0] | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/phase_raw_b | ngFEC/ctrl_regs_inst/rst_dmdt | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 2 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 2 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 7 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 7 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 2 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 6 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_A/tag_o[13]_i_1_n_0 | | 3 | 14 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_A/tag_int[13]_i_2_n_0 | ngFEC/dmdt_meas/DMTD_A/tag_int[13]_i_1_n_0 | 4 | 14 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_B/tag_int[13]_i_2__0_n_0 | ngFEC/dmdt_meas/DMTD_B/tag_int[13]_i_1_n_0 | 5 | 14 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_B/tag_o[13]_i_1__0_n_0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].patternSearch/rx_reset_s_2 | 7 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 4 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 3 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 2 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 5 | 14 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].patternSearch/rx_reset_s_0 | 5 | 14 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.RAM/BRAM_h/ngccm_mosi_reg[ipb_wdata]0 | | 7 | 14 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__2_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/rst_eth_reg_1[0] | 4 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___10_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 3 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__6_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___10_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 3 | 15 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/p_0_out | 3 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___10_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 4 | 15 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 2 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__5_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 15 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/p_1_out | 5 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__10_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/spi/SerialOutValidDelayed2_reg_0 | sys/spi/mode_reg[1]_0 | 4 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__3_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__8_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr[14]_i_1__7_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[4] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__3_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[4] | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/test_comm_cnt2[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/AR[1] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__6_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[4] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__5_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[3] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[3] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__2_n_0 | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 2 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 2 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u1/bytereaddv_reg_0[0] | | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/ctrl_regs_inst/AR[0] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/test_comm_cnt2[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___291_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/i___290_n_0 | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[1] | 4 | 16 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_B/stab_cntr | ngFEC/dmdt_meas/sync_reset_dmtdclk/SR[0] | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | ngFEC/dmdt_meas/DMTD_A/stab_cntr_0 | ngFEC/dmdt_meas/sync_reset_dmtdclk/SR[0] | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[11] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__7_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[2] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[2] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[2] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__4_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[11] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[11] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___291_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/i___290_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__0_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[10] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[10] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[10] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[6] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[6] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[6] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__1_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__3_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__0_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/CrossClock_DV_cnt/E[0] | sys/clocks/rst_ipb | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/CrossClock_DV_cnt/E[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___291_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/i___290_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[9] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[9] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[9] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 10 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[5] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[5] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[5] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__5_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__1_n_0 | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__6_n_0 | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[0] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[0] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[0] | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 16 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[7] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[7] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[7] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__8_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__2_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[1] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1_n_0 | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/eqOp | | 4 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[0].stat_reg[95][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[11].stat_reg[94][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[10].stat_reg[93][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[1].stat_reg[84][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[2].stat_reg[85][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[3].stat_reg[86][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[4].stat_reg[87][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[6].stat_reg[89][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[5].stat_reg[88][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[7].stat_reg[90][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[8].stat_reg[91][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_rx_frameclk_lock_cnt[9].stat_reg[92][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[10].stat_reg[105][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[11].stat_reg[106][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[2].stat_reg[97][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[1].stat_reg[96][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[4].stat_reg[99][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[3].stat_reg[98][15]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/CrossClock_DV_cnt/E[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[5].stat_reg[100][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[6].stat_reg[101][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[7].stat_reg[102][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[8].stat_reg[103][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/g_tx_ready_cnt[9].stat_reg[104][15]_i_1_n_0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/p_0_out0 | | 5 | 16 | | sys/clocks/ipb_clk_i | ngFEC/stat_reg[81][15]_i_1_n_0 | | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[1] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[1] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 16 | | sys/clocks/ipb_clk_i | sys/ipb/trans/iface/wctr0 | sys/ipb/trans/iface/p_0_in | 4 | 16 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/rctr01_out | sys/ipb/trans/iface/rctr0 | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/uc_if/spi/buf_wdata0 | | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/reliable_data | sys/ipb/udp_if/rx_reset_block/rx_reset | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/next_pkt_id_int0 | sys/clocks/rst_125mhz | 3 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[8] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_outCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[8] | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_8[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_5[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_3[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_10[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_1[0] | sys/clocks/rst_125mhz | 2 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_9[0] | sys/clocks/rst_125mhz | 2 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_13[0] | sys/clocks/rst_125mhz | 5 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_4[0] | sys/clocks/rst_125mhz | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_2[0] | sys/clocks/rst_125mhz | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_6[0] | sys/clocks/rst_125mhz | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_12[0] | sys/clocks/rst_125mhz | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_0[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_7[0] | sys/clocks/rst_125mhz | 2 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg[0] | sys/clocks/rst_125mhz | 3 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_11[0] | sys/clocks/rst_125mhz | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TCK_inCounter0 | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[3] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg_reg[46]_0[0] | sys/clocks/rst_ipb | 5 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_ram_selector/E[0] | sys/clocks/rst_125mhz | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_7[0] | sys/ipb/udp_if/rx_reset_block/pkt_drop_reg__4[0] | 4 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/tx_buf_a0 | | 2 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TimeoutError | ngFEC/ctrl_regs_inst/regs_reg[5][12]_0[8] | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__10_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/FSM_sequential_StateJTAGTDO_reg[0] | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[15]_i_1__7_n_0 | 5 | 16 | | sys/clocks/PLLE2_BASE_inst_0 | sys/clocks/rst_125_reg_2[0] | | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/test_comm_cnt2[0]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/reset146_in | | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/test_comm_cnt[0]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/test_comm_cnt2[0]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 5 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 3 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__14_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/test_comm_cnt[0]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/test_comm_cnt2[0]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 5 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__12_0[1] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/test_comm_cnt2[0]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[0] | 4 | 16 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__15_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/test_comm_cnt2[0]_i_1__0_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[0] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__7_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/test_comm_cnt[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/test_comm_cnt2[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 5 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[0] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 3 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 3 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/test_comm_cnt[0]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/test_comm_cnt2[0]_i_1__1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[0] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 6 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__9_0[1] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/test_comm_cnt[0]_i_1__2_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 4 | 16 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/p_0_in | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__11_0[0] | 5 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/test_comm_cnt2[0]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__6_0[1] | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__5_0[0] | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/p_2_out[15] | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 2 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/test_comm_cnt[0]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/p_0_in_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 4 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[4][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 3 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[2][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 3 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter[6][15]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 3 | 16 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__17_0 | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/test_comm_cnt2[0]_i_1_n_0 | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__3_0[0] | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | ngFEC/ctrl_regs_inst/regs_reg[1][5]_rep__18_0[0] | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/clear | 4 | 16 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | sys/clocks/userclk | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/wait_bypass_count[0]_i_2_n_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/clear | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/time_out_counter | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 17 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 17 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].timer[17]_i_1__1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].timer[17]_i_1_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/timer0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].timer[17]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 3 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].timer[17]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/timer0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].timer[17]_i_1__0_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 10 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].timer[17]_i_1__1_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 3 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/timer0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 5 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].timer[17]_i_1__0_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/timer0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 4 | 18 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].timer[17]_i_1__2_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 3 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 18 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 19 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[0]_7 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_out_counter | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/reset_time_out | 5 | 19 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_counter | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/reset_time_out_reg_n_0 | 5 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 4 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 19 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_1[0] | | 7 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_1[0] | | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/gtxLatOpt_gen[4].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[1].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[2].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/adapt_count | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/gtxLatOpt_gen[3].xlx_k7v7_mgt_latopt_inst/U0/gt0_rxresetfsm_i/recclk_mon_count_reset | 5 | 20 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_2[0] | | 7 | 20 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_1[0] | | 4 | 20 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_2[0] | | 6 | 20 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_2[0] | | 5 | 20 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_1[0] | | 4 | 20 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | | 4 | 20 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_2[0] | | 8 | 20 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_1[0] | | 5 | 20 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_1[0] | | 4 | 20 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_1[0] | | 4 | 20 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_ram_mux/dia[7]_i_1_n_0 | 5 | 20 | | ngFEC/cdce_synch/CLK | ngFEC/cdce_synch/timer[19]_i_1_n_0 | ngFEC/ctrl_regs_inst/reset | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 3 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 6 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 5 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 7 | 20 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[15].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 20 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AS[0] | 9 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AS[0] | 6 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AS[0] | 8 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AS[0] | 7 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AS[0] | 8 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AS[0] | 8 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AS[0] | 7 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AS[0] | 6 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AS[0] | 7 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AS[0] | 6 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AS[0] | 7 | 22 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AS[0] | 6 | 22 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/toggle_i_1_n_0 | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/MGT_TX_RESET | 6 | 22 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_packet_parser/reliable_data | | 4 | 22 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/rst_eth | 12 | 22 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[8].clkRate3/value0 | | 5 | 23 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 9 | 23 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 8 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clkRate1/rateCtr | ngFEC/clkRate1/clear | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[9].clkRate3/value0 | | 8 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 9 | 23 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 7 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 5 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clkRate2/rateCtr | ngFEC/clkRate2/clear | 6 | 23 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 9 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[10].clkRate3/rateCtr | ngFEC/clk_rate_gen[10].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[11].clkRate3/rateCtr | ngFEC/clk_rate_gen[11].clkRate3/clear | 6 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 10 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clkRate1/value0 | | 4 | 23 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 7 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 7 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clkRate0/value0 | | 12 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[12].clkRate3/rateCtr | ngFEC/clk_rate_gen[12].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[1].clkRate3/rateCtr | ngFEC/clk_rate_gen[1].clkRate3/clear | 6 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 5 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[2].clkRate3/rateCtr | ngFEC/clk_rate_gen[2].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[3].clkRate3/rateCtr | ngFEC/clk_rate_gen[3].clkRate3/clear | 6 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clkRate2/value0 | | 4 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[4].clkRate3/rateCtr | ngFEC/clk_rate_gen[4].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[5].clkRate3/rateCtr | ngFEC/clk_rate_gen[5].clkRate3/clear | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[10].clkRate3/value0 | | 5 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 5 | 23 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[11].clkRate3/value0 | | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[6].clkRate3/rateCtr | ngFEC/clk_rate_gen[6].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[7].clkRate3/rateCtr | ngFEC/clk_rate_gen[7].clkRate3/clear | 6 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clkRate0/rateCtr | ngFEC/clkRate0/clear | 6 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[9].clkRate3/rateCtr | ngFEC/clk_rate_gen[9].clkRate3/clear | 6 | 23 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 9 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[12].clkRate3/value0 | | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[1].clkRate3/value0 | | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[2].clkRate3/value0 | | 7 | 23 | | sys/clocks/PLLE2_BASE_inst_0 | ngFEC/clk_rate_gen[8].clkRate3/rateCtr | ngFEC/clk_rate_gen[8].clkRate3/clear | 6 | 23 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 11 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[7].clkRate3/value0 | | 8 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[4].clkRate3/value0 | | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[5].clkRate3/value0 | | 4 | 23 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 7 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[6].clkRate3/value0 | | 6 | 23 | | sys/clocks/ipb_clk_i | ngFEC/clk_rate_gen[3].clkRate3/value0 | | 9 | 23 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__109_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___51_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___30_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__108_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__90_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___282_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___240_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___261_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 13 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__83_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 13 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___198_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___177_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__100_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__82_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___219_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___114_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___135_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___156_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___93_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__81_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___72_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__73_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 13 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__80_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__72_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__25_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__37_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__76_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__97_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__71_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__70_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__102_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__99_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__93_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__115_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__112_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__107_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__106_n_0 | | 6 | 24 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/toggle | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/MGT_RX_RESET | 4 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___93_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__105_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__104_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__98_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__114_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___72_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__113_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__103_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___51_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__11_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__8_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__7_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__6_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__5_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__4_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__3_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__2_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__1_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__0_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__10_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__9_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__24_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___261_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__21_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__79_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__20_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___282_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__19_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__18_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__17_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___30_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__16_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__15_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__14_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[13][23]_i_1_n_0 | sys/clocks/rst_ipb | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___240_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___219_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__13_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__23_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__22_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__12_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__36_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__94_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__35_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__89_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__78_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__50_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__47_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__46_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__45_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__44_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__43_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__42_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 18 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__41_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__40_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___156_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__39_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__49_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__48_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 12 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__38_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 13 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__88_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_sfp_gen[12].LocalI2CBridge_sfp/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__63_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__60_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__59_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__58_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__57_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__56_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___198_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__55_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__54_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__53_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__52_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__62_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___177_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__61_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__51_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 14 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 13 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__96_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__31_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 16 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__30_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__32_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__33_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___114_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__92_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__34_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__91_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__101_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 14 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__28_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 11 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___135_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__27_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__26_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__69_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__68_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__87_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__67_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 5 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___135_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__66_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___114_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___156_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___177_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___198_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___261_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___240_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___219_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___282_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___30_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___72_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___51_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___93_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__65_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__95_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__75_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__74_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__64_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__84_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__77_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__29_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 10 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 8 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__86_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__85_n_0 | | 6 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__111_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 7 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[0]_i_1__110_n_0 | | 6 | 24 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_din0[0] | | 9 | 24 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__6_n_0 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__3_n_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/timer[0]_i_1__4_n_0 | ngFEC/ctrl_regs_inst/rst_ipb_reg | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/timer[0]_i_1__6_n_0 | ngFEC/ctrl_regs_inst/g_resetl12 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__4_n_0 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 26 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/wr | sys/clocks/rst_ipb | 6 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/timer[0]_i_1__3_n_0 | ngFEC/ctrl_regs_inst/rst_ipb_reg | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/timer[0]_i_1__7_n_0 | ngFEC/ctrl_regs_inst/g_resetl12 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___8_n_0 | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/timer[0]_i_1__14_n_0 | ngFEC/ctrl_regs_inst/g_resetl8 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__2_n_0 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/timer[0]_i_1__12_n_0 | ngFEC/ctrl_regs_inst/g_resetl8 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/timer[0]_i_1__11_n_0 | ngFEC/ctrl_regs_inst/g_resetl8 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__1_n_0 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/timer[0]_i_1__5_n_0 | ngFEC/ctrl_regs_inst/rst_ipb_reg | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/timer[0]_i_1__8_n_0 | ngFEC/ctrl_regs_inst/g_resetl12 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/timer[0]_i_1__9_n_0 | ngFEC/ctrl_regs_inst/g_resetl12 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/timer[0]_i_1__10_n_0 | ngFEC/ctrl_regs_inst/g_resetl12 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/timer[0]_i_1__13_n_0 | ngFEC/ctrl_regs_inst/g_resetl8 | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1_n_0 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___8_n_0 | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 7 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__0_n_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___8_n_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 8 | 26 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGIgnoreTDO_i_1__5_n_0 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 11 | 27 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 11 | 27 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/DataAtoB_reg | | 10 | 27 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 11 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 8 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 7 | 27 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 7 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 7 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 7 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 5 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 27 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/DataAtoB_reg | | 7 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 7 | 27 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 6 | 27 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/DataAtoB_reg | | 10 | 27 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 13 | 27 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 11 | 27 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | | 9 | 28 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | | | 10 | 28 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[11][27]_i_1_n_0 | sys/clocks/rst_ipb | 8 | 28 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | | 10 | 28 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | | | 8 | 28 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/rst_125_reg_1 | 6 | 28 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | | | 6 | 28 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/clkdiv/clear | 7 | 28 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | | 10 | 28 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | | 10 | 28 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | | 10 | 28 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | | | 10 | 28 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | | | 8 | 28 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | | | 6 | 28 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | | | 12 | 28 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[33]_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 9 | 29 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/FSM_onehot_state_reg[3]_1[0] | | 10 | 29 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | | 8 | 30 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | | 7 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 13 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AR[0] | 9 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AR[0] | 7 | 30 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | | 7 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AR[0] | 10 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AR[0] | 7 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AR[0] | 8 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AR[0] | 8 | 30 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | | 8 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AR[0] | 7 | 30 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | | 10 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 12 | 30 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | | 9 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AR[0] | 7 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AR[0] | 8 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AR[0] | 10 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AR[0] | 6 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 30 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/reg_ngccm_jtag_i | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AR[0] | 8 | 30 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | | | 9 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 19 | 30 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | | 9 | 30 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | | 9 | 30 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | | | 8 | 30 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | | | 8 | 30 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__119_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__70_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__130_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__112_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__8_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__131_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__84_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__132_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___12_n_0 | | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__104_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__133_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__67_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__113_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 7 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__9_n_0 | | 4 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__115_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__59_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__116_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__117_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__129_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__82_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__118_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 10 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__127_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__73_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__83_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__128_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__88_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__69_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___12_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__158_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__114_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__68_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__87_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__4_n_0 | | 5 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___12_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__44_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__86_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__55_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__85_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__56_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__57_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__74_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__58_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__10_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__11_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__12_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__13_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__9_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__54_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__7_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__8_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__52_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__53_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 6 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__6_n_0 | | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__164_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__176_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__175_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__7_n_0 | | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 5 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__5_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__149_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__160_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__161_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__162_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__163_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__159_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__29_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 11 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__40_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__41_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__42_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__43_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__39_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__3_n_0 | | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 4 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__134_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__145_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__146_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__147_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__148_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__144_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__142_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__143_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__37_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__24_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__38_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__28_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__23_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__22_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 6 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__2_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__178_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__177_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__98_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__97_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__99_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 6 | 31 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits[30]_i_1__10_n_0 | | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/response_length[31]_i_1__103_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__102_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__101_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__100_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__89_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 9 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__72_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__71_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_length[31]_i_1__14_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep__0_n_0 | 10 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/response_length[31]_i_1__25_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 8 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/response_length[31]_i_1__26_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/response_length[31]_i_1__27_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 6 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/response_length[31]_i_1__173_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__172_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 5 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/response_length[31]_i_1__174_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/w_address_reg[11][0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 31 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/response_length[31]_i_1__157_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 6 | 31 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][1]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][1][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][14]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][14]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_269 | sys/addr_reg[24]_175 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][12]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][12]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][11][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][11]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][0]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[3]_22 | sys/clocks/rst_ipb | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_427 | sys/addr_reg[16]_339 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][10]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][10][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][0][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][9][0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][9]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][7][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][7]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][8][0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][8]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][6]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[21]_13 | sys/clocks/rst_ipb | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][6][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][5]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[18]_15 | sys/clocks/rst_ipb | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][5]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][4]_2[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][3]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[20]_14 | sys/clocks/rst_ipb | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][4]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_103 | sys/addr_reg[17]_54 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][3]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][2]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][2]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][15]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][1][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][15]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][14]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][12]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_133 | sys/addr_reg[16]_246 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_247 | sys/addr_reg[17]_129 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][12]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][11][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][10]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][10][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][11]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][0]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_142 | sys/addr_reg[17]_74 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][0][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][9]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][9][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][7][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][8]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][8][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][7]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][5]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][5]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][6]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][6][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][4]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][4]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][3]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][2]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][2]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_152 | sys/addr_reg[24]_157 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_378 | sys/addr_reg[17]_197 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][1]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_111 | sys/addr_reg[16]_240 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][1][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][14]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][15]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][12]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_148 | sys/addr_reg[16]_253 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_100 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_10 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][14]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][12]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][10][0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][11]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_450 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_46 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][4]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][0]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_304 | sys/addr_reg[16]_301 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][10]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[13][0][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_240 | sys/addr_reg[24]_171 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][9]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_3 | sys/addr_reg[24]_133 | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][9][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][7][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_156 | sys/addr_reg[24]_156 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][8]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_252 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_26 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][8][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][7]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][6][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][5]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][5]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][6]_0[0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][4]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_250 | sys/addr_reg[16]_282 | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_177 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_18 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][4]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][3]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_172 | sys/addr_reg[16]_258 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][3]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][2]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][2]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_109 | sys/addr_reg[16]_241 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][1][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][1]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][15]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_115 | sys/addr_reg[16]_243 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_27 | sys/addr_reg[17]_17 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][14]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][14]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_120 | sys/addr_reg[17]_245 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][12]_2[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][11]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][10][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][10]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_130 | sys/addr_reg[17]_69 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][0]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][0][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][9][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][9]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][8]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][8][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_135 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_14 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][6]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][5]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][6][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_144 | sys/addr_reg[17]_77 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_300 | sys/addr_reg[17]_157 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][7][0] | sys/clocks/TTC_rst | 18 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_150 | sys/addr_reg[16]_252 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][7]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][5]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][4]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][4]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][3]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][3]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][2]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][2]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][1]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][15]_2[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][1][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][15]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][14]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][12]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_154 | sys/addr_reg[16]_255 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][12]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][11][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][0]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0 | sys/addr_reg[16]_205 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][10][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][10]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][11]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[11][0][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][8][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][9]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][9][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][8]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][6]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][5]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][7]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][6][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][7][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][4]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][5]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][4]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][2]_2[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][3]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][2]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][15]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_298 | sys/addr_reg[17]_154 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_123 | sys/addr_reg[24]_153 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_273 | sys/addr_reg[24]_174 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][6]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][1][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_113 | sys/addr_reg[24]_151 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][1]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_216 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_22 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 17 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_126 | sys/addr_reg[17]_65 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_187 | sys/addr_reg[16]_265 | 5 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_138 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_14 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_165 | sys/addr_reg[17]_85 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_139 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_14 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][14]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][12]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_12 | sys/addr_reg[24]_135 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][12]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][11]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_234 | sys/addr_reg[24]_168 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][10]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_176[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_108[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_125[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_228 | sys/addr_reg[16]_276 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_25 | sys/addr_reg[17]_14 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_159[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][11]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_142[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][12]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/E[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_48 | sys/addr_reg[17]_25 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][12]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_99 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_10 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_81 | sys/addr_reg[17]_243 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_52 | sys/addr_reg[17]_29 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_61 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_6 | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__9_n_0 | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_7 | sys/addr_reg[24]_132 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_55 | sys/addr_reg[16]_222 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_94 | sys/addr_reg[16]_234 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_91 | sys/addr_reg[17]_49 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][14]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][4]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_70 | sys/addr_reg[16]_229 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_60 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_6 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_456 | sys/addr_reg[17]_237 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_9 | sys/addr_reg[17]_239 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][9][0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_76 | sys/addr_reg[16]_231 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][1][0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][1]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_454 | sys/addr_reg[17]_234 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_64 | sys/addr_reg[17]_34 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_5 | sys/addr_reg[16]_207 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_57 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_6 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_87 | sys/addr_reg[17]_45 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_84 | sys/addr_reg[24]_147 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_74 | sys/addr_reg[24]_145 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_78 | sys/addr_reg[24]_144 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_96 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_10 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_66 | sys/addr_reg[17]_37 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_72 | sys/addr_reg[16]_228 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_45 | sys/addr_reg[24]_141 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_447 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_46 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][15]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_421 | sys/addr_reg[16]_337 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_349 | sys/addr_reg[16]_315 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_169 | sys/addr_reg[17]_89 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][15]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_445 | sys/addr_reg[16]_342 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_399 | sys/addr_reg[17]_205 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_174 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_18 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_35 | sys/addr_reg[24]_139 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_330 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_34 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_33 | sys/addr_reg[16]_216 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_369 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_38 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_217 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_22 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_429 | sys/addr_reg[24]_198 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][2]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_345 | sys/addr_reg[16]_312 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_425 | sys/addr_reg[24]_199 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_411 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_42 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_265 | sys/addr_reg[16]_289 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_390 | sys/addr_reg[24]_192 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][3]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_354 | sys/addr_reg[17]_257 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_388 | sys/addr_reg[16]_327 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_408 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_42 | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_376 | sys/addr_reg[17]_194 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_438 | sys/addr_reg[17]_225 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_195 | sys/addr_reg[24]_162 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_435 | sys/addr_reg[24]_201 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_386 | sys/addr_reg[24]_193 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_193 | sys/addr_reg[16]_267 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_312 | sys/addr_reg[24]_180 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_308 | sys/addr_reg[24]_181 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_328 | sys/addr_reg[16]_306 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_432 | sys/addr_reg[17]_261 | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_276 | sys/addr_reg[17]_253 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_339 | sys/addr_reg[17]_177 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_337 | sys/addr_reg[17]_174 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][5]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_423 | sys/addr_reg[16]_336 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_42 | sys/addr_reg[17]_241 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_417 | sys/addr_reg[17]_217 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_415 | sys/addr_reg[17]_214 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][4]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_372 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_38 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][6][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_357 | sys/addr_reg[24]_189 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_315 | sys/addr_reg[17]_255 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_347 | sys/addr_reg[24]_187 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_333 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_34 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_351 | sys/addr_reg[24]_186 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_321 | sys/addr_reg[17]_165 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][5]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][6]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_382 | sys/addr_reg[16]_325 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][7][0] | sys/clocks/TTC_rst | 17 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][7]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][8]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][8][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_191 | sys/addr_reg[24]_163 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][9]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][9][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][0][0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][11][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_373 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_38 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][10]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/i___6_n_0 | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_364 | sys/addr_reg[17]_189 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 19 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_393 | sys/addr_reg[17]_259 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][8]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_198 | sys/addr_reg[17]_249 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_360 | sys/addr_reg[17]_185 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_343 | sys/addr_reg[16]_313 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_318 | sys/addr_reg[24]_183 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_310 | sys/addr_reg[16]_303 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_306 | sys/addr_reg[16]_300 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_325 | sys/addr_reg[17]_169 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_406 | sys/addr_reg[16]_330 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_396 | sys/addr_reg[24]_195 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_442 | sys/addr_reg[17]_229 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_334 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_34 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_403 | sys/addr_reg[17]_209 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_367 | sys/addr_reg[16]_318 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_451 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_46 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_183 | sys/addr_reg[17]_97 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][5]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_31 | sys/addr_reg[16]_217 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][10][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_19 | sys/addr_reg[16]_210 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_412 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_42 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_37 | sys/addr_reg[16]_219 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][0]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][11]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][12]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][12]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr_reg[0]_5[0] | | 5 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 18 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][15]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/rmw_write | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/rmw_result[31]_i_1_n_0 | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][14]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][1]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 17 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/ack | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_162 | sys/addr_reg[24]_159 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/trans/iface/rxf0 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/ipb/udp_if/clock_crossing_if/FSM_onehot_state_reg[1][0] | | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][1][0] | sys/clocks/TTC_rst | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__8_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][2]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_6[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][3]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/clocks/rst__0 | sys/clocks/rst_async | 8 | 32 | | sys/clocks/ipb_clk_i | sys/icap_if/icapInterface/data_o[31]_i_1_n_0 | sys/clocks/rst_ipb | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][2]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][3]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][8][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][4]_0[0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/i2c_m/core/u2/reply | sys/clocks/rst_ipb | 6 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][4]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][5]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][5]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][7]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][7][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_255 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_26 | 10 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][6][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][2]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][5]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/i___6_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][6]_0[0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][9][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][9]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][8][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[8][8]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][0][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_39 | sys/addr_reg[24]_138 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][0][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_9[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_4[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_13[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_15[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_3[0] | | 13 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_error_counter_reset/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 17 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_7[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 18 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_11[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_2[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_14[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_22[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_6[0] | | 12 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[10]_385 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][10][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/spi/txdata0 | | 10 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__4_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[14]_17 | sys/clocks/rst_ipb | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_20[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_8[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_error_counter_reset/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_4[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_208 | sys/addr_reg[17]_109 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][0]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[24]_10 | sys/clocks/rst_ipb | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 16 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[12]_389 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_10[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 17 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[27]_7 | sys/clocks/rst_ipb | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_17[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[26]_8 | sys/clocks/rst_ipb | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 18 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_1[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_10[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_3[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[23]_11 | sys/clocks/rst_ipb | 8 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_error_counter_reset/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_5[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[5]_20 | sys/clocks/rst_ipb | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][9]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[25]_9 | sys/clocks/rst_ipb | 12 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_21[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_5[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 11 | 32 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[11]_387 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_74[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 17 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][6][0] | sys/clocks/TTC_rst | 12 | 32 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[10]_18 | sys/clocks/rst_ipb | 7 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[22]_12 | sys/clocks/rst_ipb | 9 | 32 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[1]_367 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_7[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][1][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][1]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_1[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][15]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][2]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][2]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][3]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_2[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][6][0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][6]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_6[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_19[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_8[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_237 | sys/addr_reg[17]_251 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][7]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_9[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][5]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][7][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][4]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_12[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_16[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][5]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_18[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[11].skip_SFP_SEC.synch_reset_reg[11][0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][4]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_271 | sys/addr_reg[16]_291 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][6]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_4[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][7][0] | sys/clocks/TTC_rst | 14 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__6_n_0 | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_14[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][5]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 18 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__7_n_0 | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_12[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_6[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 15 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_16[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 18 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_20[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_18[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_22[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_6[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][7]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][6][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_286 | sys/addr_reg[17]_149 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_8[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][7]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_2[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_220 | sys/addr_reg[17]_114 | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_10[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_16[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][8]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][9]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][9][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][8][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_10[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][0][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][11][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_14[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_18[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][11]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][10]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_2[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_12[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_20[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][0]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_4[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_6[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_22[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_14[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][10][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][4]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_20[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][12]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_16[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_2[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][12]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_20[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_10[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0[0] | | 10 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__5_n_0 | | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_16[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][14]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_20[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][14]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 17 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_8[0] | | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][15]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 14 | 32 | | ngFEC/CLKFBIN | ngFEC/dmdt_meas/sync_done_clka/sync_posedge.synced_o_reg_0 | ngFEC/dmdt_meas/sync_done_clka/clka_done_prev_reg | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 15 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 32 | | ngFEC/CLKFBIN | ngFEC/dmdt_meas/sync_done_clka/E[0] | | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_22[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_181 | sys/addr_reg[17]_94 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][8]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 15 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/QIE_reset_counter0 | sys/clocks/rst_ipb | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/WTECnt0 | sys/clocks/rst_ipb | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 20 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/SinErrStr | sys/clocks/rst_ipb | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 13 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/DbErrStr | sys/clocks/rst_ipb | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/EvCntRes | sys/clocks/rst_ipb | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC/Inst_TTC_decoder/BCntRes | sys/clocks/rst_ipb | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_8[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_44[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 5 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_10[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_279 | sys/addr_reg[24]_177 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][7][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_57[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][1]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][1][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][2]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_12[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 17 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][2]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_189 | sys/addr_reg[16]_264 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][3]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][3]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_18[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_2[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_4[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_6[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][4]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_8[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6][0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][5]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_256 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_26 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][0][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][4]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][7]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][7][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_12[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][6][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_23 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_2 | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][6]_0[0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][5]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][8][0] | sys/clocks/TTC_rst | 17 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_4[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][9][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_1[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_267 | sys/addr_reg[16]_288 | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 18 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][8]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_22[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_8[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_12[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[17][9]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][10]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][0][0] | sys/clocks/TTC_rst | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__3_n_0 | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_289 | sys/addr_reg[16]_294 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][0]_1[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_4[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_20[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_40[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][10][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][0]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][11]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][12]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][12]_2[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][14]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][14]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][8][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][15]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][15]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][1]_0[0] | sys/clocks/TTC_rst | 16 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_40[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][1][0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][2]_0[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_204 | sys/addr_reg[17]_105 | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][2]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][3]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_226 | sys/addr_reg[16]_277 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][4]_0[0] | sys/clocks/TTC_rst | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[8]_117 | sys/clocks/rst_ipb | 17 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[7]_118 | sys/clocks/rst_ipb | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[4]_121 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_20[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[2]_123 | sys/clocks/rst_ipb | 18 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[29]_96 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[22]_103 | sys/clocks/rst_ipb | 17 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[27]_98 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][3]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_14[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[26]_99 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[24]_101 | sys/clocks/rst_ipb | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_14[0] | | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/i___6_n_0 | | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[6]_119 | sys/clocks/rst_ipb | 20 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[9]_116 | sys/clocks/rst_ipb | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][4]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_282 | sys/addr_reg[17]_145 | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[21]_104 | sys/clocks/rst_ipb | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 16 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[20]_105 | sys/clocks/rst_ipb | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[31]_94 | sys/clocks/rst_ipb | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[6][5]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[3]_122 | sys/clocks/rst_ipb | 19 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[25]_100 | sys/clocks/rst_ipb | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[5]_120 | sys/clocks/rst_ipb | 17 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][10]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_18[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_12[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_28[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[23]_102 | sys/clocks/rst_ipb | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[19]_106 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][11]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_4[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[28]_97 | sys/clocks/rst_ipb | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][12]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[16]_109 | sys/clocks/rst_ipb | 17 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[12]_113 | sys/clocks/rst_ipb | 22 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__2_n_0 | | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[11]_114 | sys/clocks/rst_ipb | 20 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[10]_115 | sys/clocks/rst_ipb | 15 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[0][31]_i_1_n_0 | sys/clocks/rst_ipb | 19 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[13]_112 | sys/clocks/rst_ipb | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_16[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_14[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_18[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[14][1]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[18]_107 | sys/clocks/rst_ipb | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[17]_108 | sys/clocks/rst_ipb | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_222 | sys/addr_reg[17]_117 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[15]_110 | sys/clocks/rst_ipb | 21 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/phase_meas_p_i_1_n_0 | ngFEC/ctrl_regs_inst/rst_dmdt | 7 | 32 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/sel | ngFEC/dmdt_meas/clks_ticks_i[0]_i_1_n_0 | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/clks_ticks0 | | 6 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_10[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][14]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_36[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_16[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_32[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_12[0] | | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][3]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][12]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][9]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_22[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_6[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_8[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][15]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_2[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_8[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_16[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_2[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][14]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_4[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_6[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_24[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_18[0] | | 12 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/phy/U0/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/refclk_stable_count | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[4].skip_SFP_SEC.synch_reset_reg[4]_22[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][1]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_10[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_16[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_36[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_8[0] | | 10 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/IPADDR/IP_addr_rx_vld | sys/clocks/rst_125mhz | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_1[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_12[0] | | 15 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/payload/ipbus_hdr_int0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits[31]_i_1__10_n_0 | | 7 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][1][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_2[0] | sys/ipb/udp_if/rx_reset_block/SR[0] | 9 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/ce_rx_crc_reg_0 | sys/eth/mac/i_mac/i_rx_CRC32D8/init_rx_crc | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_44[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_201 | sys/addr_reg[24]_165 | 7 | 32 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/ce_tx_crc_reg_0 | sys/eth/mac/i_mac/init | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_32[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_261 | sys/addr_reg[17]_137 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_9[0] | | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC_Counter/BC0_early0 | | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC_Counter/BC0_late0 | | 8 | 32 | | ngFEC/CLKFBIN | ngFEC/DTC_Counter/BC0_onTime[0]_i_1_n_0 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][2]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_12[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_211 | sys/addr_reg[16]_270 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_40[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][2]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_291 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_30 | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_28[0] | | 11 | 32 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_40[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][3]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_213 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_22 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_178 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_18 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_10[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][3]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7][0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_3[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][4]_2[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_7[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 17 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_8[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_32[0] | | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_159 | sys/addr_reg[17]_247 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_4[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_6[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_7[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_117 | sys/addr_reg[24]_150 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][4]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_294 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_30 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][5]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_9[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_20[0] | | 16 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_6[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_230 | sys/addr_reg[24]_169 | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_2[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_5[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][6]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_4[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][6][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_20[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_16[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_91[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][0][0] | sys/clocks/TTC_rst | 10 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[3]_371 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_295 | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_30 | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 14 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 6 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_0[0] | 18 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][5]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][10][0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_24[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_4[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_23[0] | | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][8][0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][9][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][7]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[4]_379 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][7][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][10][0] | sys/clocks/TTC_rst | 10 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_4[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_1 | sys/addr_reg[16]_204 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][8]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_44[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 16 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][0]_2[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/addr_reg[16]_193[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_10[0] | | 15 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][10]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][9]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 14 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[2]_369 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_232 | sys/addr_reg[16]_279 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_15 | sys/addr_reg[17]_5 | 9 | 32 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[9][9][0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_8[0] | | 10 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 14 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][11]_0[0] | sys/clocks/TTC_rst | 14 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_36[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[8]_19 | sys/clocks/rst_ipb | 8 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_8[0] | | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_22 | sys/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_2 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][11][0] | sys/clocks/TTC_rst | 12 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[7]_377 | | 8 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_259 | sys/addr_reg[17]_134 | 9 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_3[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][2]_2[0] | sys/clocks/TTC_rst | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][12]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[8]_381 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][2]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_2[0] | | 14 | 32 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[16]_16 | sys/clocks/rst_ipb | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_5[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][12]_0[0] | sys/clocks/TTC_rst | 15 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_17 | sys/addr_reg[17]_9 | 9 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[6]_375 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_243 | sys/addr_reg[17]_125 | 9 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][14]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_24[0] | | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[7][10]_0[0] | sys/clocks/TTC_rst | 12 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[5]_373 | | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 14 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][14]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 32 | | sys/clocks/ipb_clk_i | sys/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_28[0] | | 9 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][15]_0[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 14 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[12][12]_0[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][11][0] | sys/clocks/TTC_rst | 12 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[16][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_20 | sys/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_2 | 10 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[15][15]_2[0] | sys/clocks/TTC_rst | 11 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_2[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 12 | 32 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/descrambler/ngccm_rx_down_counter[9]_383 | | 8 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_105 | sys/addr_reg[17]_57 | 10 | 32 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/gbt_rx_checker/PRBS_rx_pattern_error_cnt | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_RX_Reset/AR[0] | 8 | 32 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 14 | 32 | | sys/clocks/ipb_clk_i | sys/server_din_o0_384 | sys/addr_reg[16]_324 | 6 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][0]_2[0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | sys/regs_reg[10][10][0] | sys/clocks/TTC_rst | 13 | 32 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[14]_111 | sys/clocks/rst_ipb | 22 | 32 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__10_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 18 | 33 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 21 | 33 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 21 | 33 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__6_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 16 | 33 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__8_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 15 | 33 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__7_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 18 | 33 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 18 | 33 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__9_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 19 | 33 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__4_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 20 | 33 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__3_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 23 | 33 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__5_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 17 | 33 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__2_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s_reg_rep_0[0] | 20 | 33 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | sys/i2c_eep/ip_addr | sys/clocks/rst_ipb | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 15 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 11 | 34 | | ngFEC/dmdt_clk/mmcm2/U0/dmdt_phase_meas_clk | | ngFEC/dmdt_meas/sync_reset_dmtdclk/SR[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 15 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 10 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/jtag_reset_local[14] | 21 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 12 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 16 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 12 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/jtag_reset_local[14] | 17 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 11 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 15 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/jtag_reset_local[14] | 16 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 10 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 17 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | sys/clocks/E[0] | sys/i2c_eep/settings[23]_i_1_n_0 | 7 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 10 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 15 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 11 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 14 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 34 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | | | 7 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 13 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 9 | 34 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 16 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_1[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 10 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 15 | 34 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[0]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 11 | 34 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_0 | | 7 | 35 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__3_n_0 | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 10 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__2_n_0 | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 10 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__5_n_0 | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 11 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/AS[0] | 21 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__1_n_0 | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__9_n_0 | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 8 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__6_n_0 | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/AS[0] | 20 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/AS[0] | 14 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__10_n_0 | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 8 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/AS[0] | 22 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__4_n_0 | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 8 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__8_n_0 | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 8 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/AS[0] | 18 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1_n_0 | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 8 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/AS[0] | 19 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/AS[0] | 19 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__0_n_0 | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 7 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/AS[0] | 18 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/AS[0] | 20 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/AS[0] | 19 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/AS[0] | 22 | 36 | | ngFEC/CLKFBIN | | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/AS[0] | 23 | 36 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__7_n_0 | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[14].skip_SFP_SEC.synch_reset_reg[14]_rep_0[0] | 9 | 36 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[37]__2_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 9 | 38 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[41]__0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 8 | 39 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[41]__0 | | 11 | 40 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/ipb/udp_if/rx_reset_block/rx_reset | 21 | 40 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/MGT_TX_RESET | 8 | 41 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/eth/phy/U0/gig_ethernet_pcs_pma_16_1_core/gpcs_pma_inst/MGT_RX_RESET | 10 | 41 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/AR[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/AR[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/AR[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/AR[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/AR[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 13 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/AR[0] | 6 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 12 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/AR[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/AR[0] | 12 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[6].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 6 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 6 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 6 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/AR[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[7].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[3].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 6 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[12].skip_SFP_SEC.synch_reset_reg[12]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/AR[0] | 10 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/data_length | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[10].skip_SFP_SEC.synch_reset_reg[10]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[7].skip_SFP_SEC.synch_reset_reg[7]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/AR[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[4].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/AR[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[8].skip_SFP_SEC.synch_reset_reg[8]_0 | 11 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/data_length | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[9].skip_SFP_SEC.synch_reset_reg[9]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[8].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[2].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[9].ngFEC_module/bram_array[0].skip_SFP_SEC.synch_reset_reg[0]_0 | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[2].skip_SFP_SEC.synch_reset_reg[2]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[10].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[11].skip_SFP_SEC.synch_reset_reg[11]_0[0] | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[4].ngFEC_module/bram_array[5].skip_SFP_SEC.synch_reset_reg[5]_0 | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[1].ngFEC_module/bram_array[3].skip_SFP_SEC.synch_reset_reg[3]_0 | 8 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.buffer_server/ngccm_state_o_reg[1]_0[0] | ngFEC/SFP_GEN[12].ngFEC_module/bram_array[15].skip_SFP_SEC.synch_reset_reg[15]_0[0] | 9 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/data_length | ngFEC/SFP_GEN[5].ngFEC_module/bram_array[6].skip_SFP_SEC.synch_reset_reg[6]_0[0] | 7 | 43 | | sys/clocks/ipb_clk_i | ngFEC/SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/data_length | ngFEC/SFP_GEN[11].ngFEC_module/bram_array[1].skip_SFP_SEC.synch_reset_reg[1]_0 | 9 | 43 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[5].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[1].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[12].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[8].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[6].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[2].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 19 | 44 | | sys/clocks/ipb_clk_i | ngFEC/dmdt_meas/phase_raw_p | ngFEC/dmdt_meas/cnt | 11 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[4].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 17 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[3].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 16 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[9].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[7].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 17 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[11].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/IPbus_gen[14].skip_SFP_SEC.IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[30]_95 | sys/clocks/rst_ipb | 25 | 44 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[1].ngCCM_gbt/Sync_RX_Reset/AR[0] | 14 | 48 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[6].ngCCM_gbt/Sync_RX_Reset/AR[0] | 17 | 48 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[2].ngCCM_gbt/Sync_RX_Reset/AR[0] | 18 | 48 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/E[0] | | 12 | 48 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[10].ngCCM_gbt/Sync_RX_Reset/AR[0] | 18 | 48 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[3].ngCCM_gbt/Sync_RX_Reset/AR[0] | 12 | 48 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[11].ngCCM_gbt/Sync_RX_Reset/AR[0] | 16 | 48 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[7].ngCCM_gbt/Sync_RX_Reset/AR[0] | 13 | 48 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[9].ngCCM_gbt/Sync_RX_Reset/AR[0] | 10 | 48 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[8].ngCCM_gbt/Sync_RX_Reset/AR[0] | 12 | 48 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[12].ngCCM_gbt/Sync_RX_Reset/AR[0] | 17 | 48 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[5].ngCCM_gbt/Sync_RX_Reset/AR[0] | 16 | 48 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/RX_Word_rx40 | ngFEC/SFP_GEN[4].ngCCM_gbt/Sync_RX_Reset/AR[0] | 13 | 48 | | sys/clocks/ipb_clk_i | sys/i2c_eep/mac_from_eep | sys/clocks/rst_ipb | 9 | 50 | | sys/clocks/ipb_clk_i | sys/ipb_sys_regs/regs[4]_21 | sys/clocks/rst_ipb | 23 | 52 | | sys/clocks/ipb_clk_i | ngFEC/ctrl_regs_inst/regs[1]_124 | sys/clocks/rst_ipb | 33 | 52 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[37]__2_0 | | 13 | 58 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/E[0] | | 26 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/E[0] | | 23 | 76 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/READY_O_reg_3[0] | | 30 | 76 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/READY_O_reg_1[0] | | 19 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/E[0] | | 24 | 76 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/E[0] | | 29 | 76 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/E[0] | | 27 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/READY_O_reg_1[0] | | 25 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/E[0] | | 30 | 76 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/E[0] | | 17 | 76 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/E[0] | | 16 | 76 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/E[0] | | 27 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/READY_O_reg_3[0] | | 21 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/E[0] | | 20 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/E[0] | | 29 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/E[0] | | 33 | 76 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/E[0] | | 25 | 76 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/READY_O_reg_1[0] | | 16 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/READY_O_reg_5[0] | | 25 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/E[0] | | 26 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/READY_O_reg_1[0] | | 16 | 76 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/E[0] | | 18 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/E[0] | | 28 | 76 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/READY_O_reg_3[0] | | 24 | 76 | | sys/clocks/ipb_clk_i | sys/ipb/trans/sm/addr[31]_i_1_n_0 | | 25 | 77 | | sys/clocks/PLLE2_BASE_inst_0 | sys/eth/mac/i_mac/emacclientrxdvld_reg_0 | sys/ipb/udp_if/rx_reset_block/rx_reset | 19 | 78 | | sys/clocks/userclk | | | 16 | 79 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/AR[0] | 30 | 80 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/AR[0] | 30 | 80 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/Q[0] | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/AR[0] | 21 | 80 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/Q[0] | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/AR[0] | 27 | 80 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/AR[0] | 24 | 80 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[1] | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/AR[0] | 21 | 80 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/AR[0] | 30 | 80 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[2] | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/AR[0] | 20 | 80 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/RX_CLKEN_O[3] | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/AR[0] | 18 | 80 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/Q[0] | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/AR[0] | 27 | 80 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/AR[0] | 23 | 80 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/AR[0] | 20 | 80 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_reset_block/pkt_mask_reg[33]_0 | | 28 | 82 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_10_out | 21 | 85 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__5_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_10_out | 20 | 85 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__4_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_17_out | 17 | 85 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_2 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__10_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/p_3_out | 15 | 85 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_10_out | 19 | 85 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_17_out | 16 | 85 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__3_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_3_out | 19 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_3_out | 22 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_10_out | 20 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/p_3_out | 25 | 85 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__9_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_10_out | 22 | 85 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__7_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_24_out | 17 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_10_out | 24 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_3_out | 24 | 85 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__8_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_17_out | 16 | 85 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_3_out | 22 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_17_out | 22 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_10_out | 18 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_17_out | 20 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_24_out | 21 | 85 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__2_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_10_out | 18 | 85 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_txoutclk_out_1 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/txGearboxLatOpt_gen.ready_i_1__6_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/p_3_out | 19 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/p_17_out | 19 | 85 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/p_3_out | 24 | 85 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 28 | 121 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__4_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 32 | 121 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__8_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 31 | 121 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__1_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 28 | 121 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__7_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 38 | 121 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank1_l12_118/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__0_n_0 | ngFEC/gbtbank1_l12_118/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 31 | 121 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__5_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 32 | 121 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg1[119]_i_1__10_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 30 | 121 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__3_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 33 | 121 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank4_l8_112/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__9_n_0 | ngFEC/gbtbank4_l8_112/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 38 | 121 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/gbtbank2_l12_117/gbt_inst/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__2_n_0 | ngFEC/gbtbank2_l12_117/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 38 | 121 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/gbtbank3_l12_116/gbt_inst/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__6_n_0 | ngFEC/gbtbank3_l12_116/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 37 | 121 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/status_buffer/history[127]_i_1_n_0 | | 43 | 125 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[2].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__5_n_0 | | 50 | 128 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[11].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1_n_0 | | 44 | 128 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/rx_transactor/pkt_rcvd_reg_1 | sys/ipb/udp_if/rx_transactor/pkt_rcvd_reg_0 | 26 | 128 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[7].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__0_n_0 | | 37 | 128 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_1 | ngFEC/SFP_GEN[8].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1_n_0 | | 40 | 128 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[10].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1_n_0 | | 51 | 128 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[9].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__7_n_0 | | 36 | 128 | | ngFEC/gbtbank2_l12_117/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[1].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__6_n_0 | | 49 | 128 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[4].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__3_n_0 | | 46 | 128 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/CLK | ngFEC/SFP_GEN[5].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__2_n_0 | | 35 | 128 | | ngFEC/gbtbank4_l8_112/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[6].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__1_n_0 | | 43 | 128 | | ngFEC/gbtbank3_l12_116/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out_0 | ngFEC/SFP_GEN[12].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1_n_0 | | 33 | 128 | | ngFEC/gbtbank1_l12_118/gbt_inst/mgt_inst/bbstub_gt0_rxoutclk_out | ngFEC/SFP_GEN[3].ngCCM_gbt/ngCCM_status_counter_o[6][15]_i_1__4_n_0 | | 47 | 128 | | sys/clocks/PLLE2_BASE_inst_0 | sys/ipb/udp_if/tx_main/ipbus_out_valid | sys/clocks/rst_125mhz | 26 | 128 | | sys/clocks/ipb_clk_i | | ngFEC/ctrl_regs_inst/rst_dmdt | 42 | 140 | | ngFEC/CLKFBIN | | sys/clocks/rst_ipb | 61 | 196 | | sys/clocks/PLLE2_BASE_inst_0 | | sys/clocks/rst_125mhz | 63 | 203 | | sys/clocks/ipb_clk_i | | sys/clocks/TTC_rst | 182 | 204 | | sys/clocks/ipb_clk_i | ngFEC/update_status | | 82 | 216 | | sys/clocks/ipb_clk_i | | sys/clocks/rst_ipb | 58 | 218 | | sys/clocks/ipb_clk_i | sys/clocks/E[0] | | 87 | 226 | | ngFEC/CLKFBIN | sys/clocks/E[0] | | 77 | 288 | | ngFEC/CLKFBIN | ngFEC/SFP_GEN[10].ngCCM_gbt/fabric_clk_div2_reg[0] | | 184 | 360 | | ngFEC/CLKFBIN | ngFEC/fabric_clk_div2 | | 389 | 1320 | | sys/clocks/PLLE2_BASE_inst_0 | | | 426 | 1575 | | sys/clocks/ipb_clk_i | | | 1432 | 2292 | | ngFEC/CLKFBIN | | | 4347 | 14794 | +-------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+