library ieee; use ieee.std_logic_1164.all; package version_package is constant firmware_ver :std_logic_vector(31 downto 0):= x"fec1_1800"; constant creation_date :std_logic_vector(31 downto 0):= x"0122_2021"; end version_package; package body version_package is end version_package;