-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 -- Date : Fri Mar 12 21:30:38 2021 -- Host : baby running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- d:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.srcs/sources_1/ip/axi_chip2chip_64B66B/axi_chip2chip_64B66B_sim_netlist.vhdl -- Design : axi_chip2chip_64B66B -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xcku115-flva2104-1-c -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_cdc_gray is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_cdc_gray : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 0; attribute VERSION : integer; attribute VERSION of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 0; attribute WIDTH : integer; attribute WIDTH of axi_chip2chip_64B66B_xpm_cdc_gray : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of axi_chip2chip_64B66B_xpm_cdc_gray : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of axi_chip2chip_64B66B_xpm_cdc_gray : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of axi_chip2chip_64B66B_xpm_cdc_gray : entity is "GRAY"; end axi_chip2chip_64B66B_xpm_cdc_gray; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_cdc_gray is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair137"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair137"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__10\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__10\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__10\ is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair129"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair129"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__6\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__6\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__6\ is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair97"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__7\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__7\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__7\ is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair104"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__8\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__8\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__8\ is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair63"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__9\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 7 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__9\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__9\ is signal async_path : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair70"; begin dest_out_bin(7) <= \dest_graysync_ff[2]\(7); dest_out_bin(6 downto 0) <= \^dest_out_bin\(6 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \^dest_out_bin\(2), I2 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \dest_graysync_ff[2]\(4), I2 => \dest_graysync_ff[2]\(6), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), I5 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(6), I4 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(7), Q => async_path(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 5; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \dest_graysync_ff[3]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[3]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[3]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[3]\ : signal is "GRAY"; signal \dest_graysync_ff[4]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[4]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[4]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[4]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair132"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair132"; begin dest_out_bin(8) <= \dest_graysync_ff[4]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[3][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(0), Q => \dest_graysync_ff[3]\(0), R => '0' ); \dest_graysync_ff_reg[3][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(1), Q => \dest_graysync_ff[3]\(1), R => '0' ); \dest_graysync_ff_reg[3][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(2), Q => \dest_graysync_ff[3]\(2), R => '0' ); \dest_graysync_ff_reg[3][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(3), Q => \dest_graysync_ff[3]\(3), R => '0' ); \dest_graysync_ff_reg[3][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(4), Q => \dest_graysync_ff[3]\(4), R => '0' ); \dest_graysync_ff_reg[3][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(5), Q => \dest_graysync_ff[3]\(5), R => '0' ); \dest_graysync_ff_reg[3][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(6), Q => \dest_graysync_ff[3]\(6), R => '0' ); \dest_graysync_ff_reg[3][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(7), Q => \dest_graysync_ff[3]\(7), R => '0' ); \dest_graysync_ff_reg[3][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(8), Q => \dest_graysync_ff[3]\(8), R => '0' ); \dest_graysync_ff_reg[4][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(0), Q => \dest_graysync_ff[4]\(0), R => '0' ); \dest_graysync_ff_reg[4][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(1), Q => \dest_graysync_ff[4]\(1), R => '0' ); \dest_graysync_ff_reg[4][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(2), Q => \dest_graysync_ff[4]\(2), R => '0' ); \dest_graysync_ff_reg[4][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(3), Q => \dest_graysync_ff[4]\(3), R => '0' ); \dest_graysync_ff_reg[4][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(4), Q => \dest_graysync_ff[4]\(4), R => '0' ); \dest_graysync_ff_reg[4][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(5), Q => \dest_graysync_ff[4]\(5), R => '0' ); \dest_graysync_ff_reg[4][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(6), Q => \dest_graysync_ff[4]\(6), R => '0' ); \dest_graysync_ff_reg[4][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(7), Q => \dest_graysync_ff[4]\(7), R => '0' ); \dest_graysync_ff_reg[4][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(8), Q => \dest_graysync_ff[4]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(0), I1 => \dest_graysync_ff[4]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[4]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[4]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[4]\(3), I1 => \dest_graysync_ff[4]\(5), I2 => \dest_graysync_ff[4]\(7), I3 => \dest_graysync_ff[4]\(8), I4 => \dest_graysync_ff[4]\(6), I5 => \dest_graysync_ff[4]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(4), I1 => \dest_graysync_ff[4]\(6), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(7), I4 => \dest_graysync_ff[4]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(5), I1 => \dest_graysync_ff[4]\(7), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(6), I1 => \dest_graysync_ff[4]\(8), I2 => \dest_graysync_ff[4]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(7), I1 => \dest_graysync_ff[4]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 5; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \dest_graysync_ff[3]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[3]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[3]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[3]\ : signal is "GRAY"; signal \dest_graysync_ff[4]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[4]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[4]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[4]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair101"; begin dest_out_bin(8) <= \dest_graysync_ff[4]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[3][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(0), Q => \dest_graysync_ff[3]\(0), R => '0' ); \dest_graysync_ff_reg[3][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(1), Q => \dest_graysync_ff[3]\(1), R => '0' ); \dest_graysync_ff_reg[3][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(2), Q => \dest_graysync_ff[3]\(2), R => '0' ); \dest_graysync_ff_reg[3][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(3), Q => \dest_graysync_ff[3]\(3), R => '0' ); \dest_graysync_ff_reg[3][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(4), Q => \dest_graysync_ff[3]\(4), R => '0' ); \dest_graysync_ff_reg[3][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(5), Q => \dest_graysync_ff[3]\(5), R => '0' ); \dest_graysync_ff_reg[3][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(6), Q => \dest_graysync_ff[3]\(6), R => '0' ); \dest_graysync_ff_reg[3][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(7), Q => \dest_graysync_ff[3]\(7), R => '0' ); \dest_graysync_ff_reg[3][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(8), Q => \dest_graysync_ff[3]\(8), R => '0' ); \dest_graysync_ff_reg[4][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(0), Q => \dest_graysync_ff[4]\(0), R => '0' ); \dest_graysync_ff_reg[4][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(1), Q => \dest_graysync_ff[4]\(1), R => '0' ); \dest_graysync_ff_reg[4][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(2), Q => \dest_graysync_ff[4]\(2), R => '0' ); \dest_graysync_ff_reg[4][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(3), Q => \dest_graysync_ff[4]\(3), R => '0' ); \dest_graysync_ff_reg[4][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(4), Q => \dest_graysync_ff[4]\(4), R => '0' ); \dest_graysync_ff_reg[4][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(5), Q => \dest_graysync_ff[4]\(5), R => '0' ); \dest_graysync_ff_reg[4][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(6), Q => \dest_graysync_ff[4]\(6), R => '0' ); \dest_graysync_ff_reg[4][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(7), Q => \dest_graysync_ff[4]\(7), R => '0' ); \dest_graysync_ff_reg[4][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(8), Q => \dest_graysync_ff[4]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(0), I1 => \dest_graysync_ff[4]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[4]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[4]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[4]\(3), I1 => \dest_graysync_ff[4]\(5), I2 => \dest_graysync_ff[4]\(7), I3 => \dest_graysync_ff[4]\(8), I4 => \dest_graysync_ff[4]\(6), I5 => \dest_graysync_ff[4]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(4), I1 => \dest_graysync_ff[4]\(6), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(7), I4 => \dest_graysync_ff[4]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(5), I1 => \dest_graysync_ff[4]\(7), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(6), I1 => \dest_graysync_ff[4]\(8), I2 => \dest_graysync_ff[4]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(7), I1 => \dest_graysync_ff[4]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 5; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \dest_graysync_ff[3]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[3]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[3]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[3]\ : signal is "GRAY"; signal \dest_graysync_ff[4]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[4]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[4]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[4]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair67"; begin dest_out_bin(8) <= \dest_graysync_ff[4]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[3][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(0), Q => \dest_graysync_ff[3]\(0), R => '0' ); \dest_graysync_ff_reg[3][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(1), Q => \dest_graysync_ff[3]\(1), R => '0' ); \dest_graysync_ff_reg[3][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(2), Q => \dest_graysync_ff[3]\(2), R => '0' ); \dest_graysync_ff_reg[3][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(3), Q => \dest_graysync_ff[3]\(3), R => '0' ); \dest_graysync_ff_reg[3][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(4), Q => \dest_graysync_ff[3]\(4), R => '0' ); \dest_graysync_ff_reg[3][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(5), Q => \dest_graysync_ff[3]\(5), R => '0' ); \dest_graysync_ff_reg[3][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(6), Q => \dest_graysync_ff[3]\(6), R => '0' ); \dest_graysync_ff_reg[3][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(7), Q => \dest_graysync_ff[3]\(7), R => '0' ); \dest_graysync_ff_reg[3][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(8), Q => \dest_graysync_ff[3]\(8), R => '0' ); \dest_graysync_ff_reg[4][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(0), Q => \dest_graysync_ff[4]\(0), R => '0' ); \dest_graysync_ff_reg[4][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(1), Q => \dest_graysync_ff[4]\(1), R => '0' ); \dest_graysync_ff_reg[4][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(2), Q => \dest_graysync_ff[4]\(2), R => '0' ); \dest_graysync_ff_reg[4][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(3), Q => \dest_graysync_ff[4]\(3), R => '0' ); \dest_graysync_ff_reg[4][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(4), Q => \dest_graysync_ff[4]\(4), R => '0' ); \dest_graysync_ff_reg[4][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(5), Q => \dest_graysync_ff[4]\(5), R => '0' ); \dest_graysync_ff_reg[4][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(6), Q => \dest_graysync_ff[4]\(6), R => '0' ); \dest_graysync_ff_reg[4][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(7), Q => \dest_graysync_ff[4]\(7), R => '0' ); \dest_graysync_ff_reg[4][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(8), Q => \dest_graysync_ff[4]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(0), I1 => \dest_graysync_ff[4]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[4]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[4]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[4]\(3), I1 => \dest_graysync_ff[4]\(5), I2 => \dest_graysync_ff[4]\(7), I3 => \dest_graysync_ff[4]\(8), I4 => \dest_graysync_ff[4]\(6), I5 => \dest_graysync_ff[4]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(4), I1 => \dest_graysync_ff[4]\(6), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(7), I4 => \dest_graysync_ff[4]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(5), I1 => \dest_graysync_ff[4]\(7), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(6), I1 => \dest_graysync_ff[4]\(8), I2 => \dest_graysync_ff[4]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(7), I1 => \dest_graysync_ff[4]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair138"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair138"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair234"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair234"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair233"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair233"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair235"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair235"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair236"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair236"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair168"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair168"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair176"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair176"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair105"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair71"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 8 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ is signal async_path : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair225"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair225"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair226"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair226"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair227"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair227"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair228"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair228"; begin dest_out_bin(8) <= \dest_graysync_ff[2]\(8); dest_out_bin(7 downto 0) <= \^dest_out_bin\(7 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(3), I3 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \^dest_out_bin\(3), I2 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \dest_graysync_ff[2]\(5), I2 => \dest_graysync_ff[2]\(7), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), I5 => \dest_graysync_ff[2]\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(7), I4 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(8), Q => async_path(8), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 9 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 5; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is 10; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ is signal async_path : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \dest_graysync_ff[3]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[3]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[3]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[3]\ : signal is "GRAY"; signal \dest_graysync_ff[4]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[4]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[4]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[4]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][9]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair172"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair172"; begin dest_out_bin(9) <= \dest_graysync_ff[4]\(9); dest_out_bin(8 downto 0) <= \^dest_out_bin\(8 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[0][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(9), Q => \dest_graysync_ff[0]\(9), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[1][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(9), Q => \dest_graysync_ff[1]\(9), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[2][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(9), Q => \dest_graysync_ff[2]\(9), R => '0' ); \dest_graysync_ff_reg[3][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(0), Q => \dest_graysync_ff[3]\(0), R => '0' ); \dest_graysync_ff_reg[3][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(1), Q => \dest_graysync_ff[3]\(1), R => '0' ); \dest_graysync_ff_reg[3][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(2), Q => \dest_graysync_ff[3]\(2), R => '0' ); \dest_graysync_ff_reg[3][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(3), Q => \dest_graysync_ff[3]\(3), R => '0' ); \dest_graysync_ff_reg[3][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(4), Q => \dest_graysync_ff[3]\(4), R => '0' ); \dest_graysync_ff_reg[3][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(5), Q => \dest_graysync_ff[3]\(5), R => '0' ); \dest_graysync_ff_reg[3][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(6), Q => \dest_graysync_ff[3]\(6), R => '0' ); \dest_graysync_ff_reg[3][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(7), Q => \dest_graysync_ff[3]\(7), R => '0' ); \dest_graysync_ff_reg[3][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(8), Q => \dest_graysync_ff[3]\(8), R => '0' ); \dest_graysync_ff_reg[3][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(9), Q => \dest_graysync_ff[3]\(9), R => '0' ); \dest_graysync_ff_reg[4][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(0), Q => \dest_graysync_ff[4]\(0), R => '0' ); \dest_graysync_ff_reg[4][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(1), Q => \dest_graysync_ff[4]\(1), R => '0' ); \dest_graysync_ff_reg[4][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(2), Q => \dest_graysync_ff[4]\(2), R => '0' ); \dest_graysync_ff_reg[4][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(3), Q => \dest_graysync_ff[4]\(3), R => '0' ); \dest_graysync_ff_reg[4][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(4), Q => \dest_graysync_ff[4]\(4), R => '0' ); \dest_graysync_ff_reg[4][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(5), Q => \dest_graysync_ff[4]\(5), R => '0' ); \dest_graysync_ff_reg[4][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(6), Q => \dest_graysync_ff[4]\(6), R => '0' ); \dest_graysync_ff_reg[4][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(7), Q => \dest_graysync_ff[4]\(7), R => '0' ); \dest_graysync_ff_reg[4][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(8), Q => \dest_graysync_ff[4]\(8), R => '0' ); \dest_graysync_ff_reg[4][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(9), Q => \dest_graysync_ff[4]\(9), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(0), I1 => \dest_graysync_ff[4]\(2), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[4]\(3), I4 => \dest_graysync_ff[4]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(1), I1 => \dest_graysync_ff[4]\(3), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[4]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(2), I1 => \^dest_out_bin\(4), I2 => \dest_graysync_ff[4]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(3), I1 => \^dest_out_bin\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[4]\(4), I1 => \dest_graysync_ff[4]\(6), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(9), I4 => \dest_graysync_ff[4]\(7), I5 => \dest_graysync_ff[4]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(5), I1 => \dest_graysync_ff[4]\(7), I2 => \dest_graysync_ff[4]\(9), I3 => \dest_graysync_ff[4]\(8), I4 => \dest_graysync_ff[4]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(6), I1 => \dest_graysync_ff[4]\(8), I2 => \dest_graysync_ff[4]\(9), I3 => \dest_graysync_ff[4]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(7), I1 => \dest_graysync_ff[4]\(9), I2 => \dest_graysync_ff[4]\(8), O => \^dest_out_bin\(7) ); \dest_out_bin[8]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(8), I1 => \dest_graysync_ff[4]\(9), O => \^dest_out_bin\(8) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(9), I1 => src_in_bin(8), O => gray_enc(8) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(8), Q => async_path(8), R => '0' ); \src_gray_ff_reg[9]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(9), Q => async_path(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 9 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 5; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is 10; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ is signal async_path : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \dest_graysync_ff[3]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[3]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[3]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[3]\ : signal is "GRAY"; signal \dest_graysync_ff[4]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[4]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[4]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[4]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[3][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[3][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[3][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[4][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[4][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[4][9]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[0]_i_1\ : label is "soft_lutpair229"; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair229"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair230"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair230"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair231"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair231"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair232"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair232"; begin dest_out_bin(9) <= \dest_graysync_ff[4]\(9); dest_out_bin(8 downto 0) <= \^dest_out_bin\(8 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[0][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(9), Q => \dest_graysync_ff[0]\(9), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[1][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(9), Q => \dest_graysync_ff[1]\(9), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[2][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(9), Q => \dest_graysync_ff[2]\(9), R => '0' ); \dest_graysync_ff_reg[3][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(0), Q => \dest_graysync_ff[3]\(0), R => '0' ); \dest_graysync_ff_reg[3][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(1), Q => \dest_graysync_ff[3]\(1), R => '0' ); \dest_graysync_ff_reg[3][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(2), Q => \dest_graysync_ff[3]\(2), R => '0' ); \dest_graysync_ff_reg[3][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(3), Q => \dest_graysync_ff[3]\(3), R => '0' ); \dest_graysync_ff_reg[3][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(4), Q => \dest_graysync_ff[3]\(4), R => '0' ); \dest_graysync_ff_reg[3][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(5), Q => \dest_graysync_ff[3]\(5), R => '0' ); \dest_graysync_ff_reg[3][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(6), Q => \dest_graysync_ff[3]\(6), R => '0' ); \dest_graysync_ff_reg[3][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(7), Q => \dest_graysync_ff[3]\(7), R => '0' ); \dest_graysync_ff_reg[3][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(8), Q => \dest_graysync_ff[3]\(8), R => '0' ); \dest_graysync_ff_reg[3][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[2]\(9), Q => \dest_graysync_ff[3]\(9), R => '0' ); \dest_graysync_ff_reg[4][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(0), Q => \dest_graysync_ff[4]\(0), R => '0' ); \dest_graysync_ff_reg[4][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(1), Q => \dest_graysync_ff[4]\(1), R => '0' ); \dest_graysync_ff_reg[4][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(2), Q => \dest_graysync_ff[4]\(2), R => '0' ); \dest_graysync_ff_reg[4][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(3), Q => \dest_graysync_ff[4]\(3), R => '0' ); \dest_graysync_ff_reg[4][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(4), Q => \dest_graysync_ff[4]\(4), R => '0' ); \dest_graysync_ff_reg[4][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(5), Q => \dest_graysync_ff[4]\(5), R => '0' ); \dest_graysync_ff_reg[4][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(6), Q => \dest_graysync_ff[4]\(6), R => '0' ); \dest_graysync_ff_reg[4][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(7), Q => \dest_graysync_ff[4]\(7), R => '0' ); \dest_graysync_ff_reg[4][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(8), Q => \dest_graysync_ff[4]\(8), R => '0' ); \dest_graysync_ff_reg[4][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[3]\(9), Q => \dest_graysync_ff[4]\(9), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(0), I1 => \dest_graysync_ff[4]\(2), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[4]\(3), I4 => \dest_graysync_ff[4]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(1), I1 => \dest_graysync_ff[4]\(3), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[4]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(2), I1 => \^dest_out_bin\(4), I2 => \dest_graysync_ff[4]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(3), I1 => \^dest_out_bin\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[4]\(4), I1 => \dest_graysync_ff[4]\(6), I2 => \dest_graysync_ff[4]\(8), I3 => \dest_graysync_ff[4]\(9), I4 => \dest_graysync_ff[4]\(7), I5 => \dest_graysync_ff[4]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[4]\(5), I1 => \dest_graysync_ff[4]\(7), I2 => \dest_graysync_ff[4]\(9), I3 => \dest_graysync_ff[4]\(8), I4 => \dest_graysync_ff[4]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[4]\(6), I1 => \dest_graysync_ff[4]\(8), I2 => \dest_graysync_ff[4]\(9), I3 => \dest_graysync_ff[4]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[4]\(7), I1 => \dest_graysync_ff[4]\(9), I2 => \dest_graysync_ff[4]\(8), O => \^dest_out_bin\(7) ); \dest_out_bin[8]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[4]\(8), I1 => \dest_graysync_ff[4]\(9), O => \^dest_out_bin\(8) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(9), I1 => src_in_bin(8), O => gray_enc(8) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(8), Q => async_path(8), R => '0' ); \src_gray_ff_reg[9]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(9), Q => async_path(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 9 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is 10; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ is signal async_path : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][9]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair177"; attribute SOFT_HLUTNM of \src_gray_ff[8]_i_1\ : label is "soft_lutpair177"; begin dest_out_bin(9) <= \dest_graysync_ff[2]\(9); dest_out_bin(8 downto 0) <= \^dest_out_bin\(8 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[0][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(9), Q => \dest_graysync_ff[0]\(9), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[1][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(9), Q => \dest_graysync_ff[1]\(9), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[2][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(9), Q => \dest_graysync_ff[2]\(9), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[2]\(3), I4 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \dest_graysync_ff[2]\(3), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(4), I2 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \^dest_out_bin\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(9), I4 => \dest_graysync_ff[2]\(7), I5 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(9), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(9), I3 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(9), I2 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \dest_out_bin[8]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(8), I1 => \dest_graysync_ff[2]\(9), O => \^dest_out_bin\(8) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(9), I1 => src_in_bin(8), O => gray_enc(8) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(8), Q => async_path(8), R => '0' ); \src_gray_ff_reg[9]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(9), Q => async_path(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ is port ( src_clk : in STD_LOGIC; src_in_bin : in STD_LOGIC_VECTOR ( 9 downto 0 ); dest_clk : in STD_LOGIC; dest_out_bin : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is "xpm_cdc_gray"; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 0; attribute WIDTH : integer; attribute WIDTH of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is 10; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ : entity is "GRAY"; end \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ is signal async_path : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \dest_graysync_ff[0]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of \dest_graysync_ff[0]\ : signal is "true"; attribute async_reg : string; attribute async_reg of \dest_graysync_ff[0]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[0]\ : signal is "GRAY"; signal \dest_graysync_ff[1]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[1]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[1]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[1]\ : signal is "GRAY"; signal \dest_graysync_ff[2]\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute RTL_KEEP of \dest_graysync_ff[2]\ : signal is "true"; attribute async_reg of \dest_graysync_ff[2]\ : signal is "true"; attribute xpm_cdc of \dest_graysync_ff[2]\ : signal is "GRAY"; signal \^dest_out_bin\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal gray_enc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \dest_graysync_ff_reg[0][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[0][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[0][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[0][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[1][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[1][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[1][9]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][0]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][0]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][0]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][1]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][1]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][1]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][2]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][2]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][2]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][3]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][3]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][3]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][4]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][4]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][4]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][5]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][5]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][5]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][6]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][6]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][6]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][7]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][7]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][7]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][8]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][8]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][8]\ : label is "GRAY"; attribute ASYNC_REG_boolean of \dest_graysync_ff_reg[2][9]\ : label is std.standard.true; attribute KEEP of \dest_graysync_ff_reg[2][9]\ : label is "true"; attribute XPM_CDC of \dest_graysync_ff_reg[2][9]\ : label is "GRAY"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \src_gray_ff[1]_i_1\ : label is "soft_lutpair240"; attribute SOFT_HLUTNM of \src_gray_ff[2]_i_1\ : label is "soft_lutpair240"; attribute SOFT_HLUTNM of \src_gray_ff[3]_i_1\ : label is "soft_lutpair239"; attribute SOFT_HLUTNM of \src_gray_ff[4]_i_1\ : label is "soft_lutpair239"; attribute SOFT_HLUTNM of \src_gray_ff[5]_i_1\ : label is "soft_lutpair238"; attribute SOFT_HLUTNM of \src_gray_ff[6]_i_1\ : label is "soft_lutpair238"; attribute SOFT_HLUTNM of \src_gray_ff[7]_i_1\ : label is "soft_lutpair237"; attribute SOFT_HLUTNM of \src_gray_ff[8]_i_1\ : label is "soft_lutpair237"; begin dest_out_bin(9) <= \dest_graysync_ff[2]\(9); dest_out_bin(8 downto 0) <= \^dest_out_bin\(8 downto 0); \dest_graysync_ff_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(0), Q => \dest_graysync_ff[0]\(0), R => '0' ); \dest_graysync_ff_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(1), Q => \dest_graysync_ff[0]\(1), R => '0' ); \dest_graysync_ff_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(2), Q => \dest_graysync_ff[0]\(2), R => '0' ); \dest_graysync_ff_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(3), Q => \dest_graysync_ff[0]\(3), R => '0' ); \dest_graysync_ff_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(4), Q => \dest_graysync_ff[0]\(4), R => '0' ); \dest_graysync_ff_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(5), Q => \dest_graysync_ff[0]\(5), R => '0' ); \dest_graysync_ff_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(6), Q => \dest_graysync_ff[0]\(6), R => '0' ); \dest_graysync_ff_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(7), Q => \dest_graysync_ff[0]\(7), R => '0' ); \dest_graysync_ff_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(8), Q => \dest_graysync_ff[0]\(8), R => '0' ); \dest_graysync_ff_reg[0][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => async_path(9), Q => \dest_graysync_ff[0]\(9), R => '0' ); \dest_graysync_ff_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(0), Q => \dest_graysync_ff[1]\(0), R => '0' ); \dest_graysync_ff_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(1), Q => \dest_graysync_ff[1]\(1), R => '0' ); \dest_graysync_ff_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(2), Q => \dest_graysync_ff[1]\(2), R => '0' ); \dest_graysync_ff_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(3), Q => \dest_graysync_ff[1]\(3), R => '0' ); \dest_graysync_ff_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(4), Q => \dest_graysync_ff[1]\(4), R => '0' ); \dest_graysync_ff_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(5), Q => \dest_graysync_ff[1]\(5), R => '0' ); \dest_graysync_ff_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(6), Q => \dest_graysync_ff[1]\(6), R => '0' ); \dest_graysync_ff_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(7), Q => \dest_graysync_ff[1]\(7), R => '0' ); \dest_graysync_ff_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(8), Q => \dest_graysync_ff[1]\(8), R => '0' ); \dest_graysync_ff_reg[1][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[0]\(9), Q => \dest_graysync_ff[1]\(9), R => '0' ); \dest_graysync_ff_reg[2][0]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(0), Q => \dest_graysync_ff[2]\(0), R => '0' ); \dest_graysync_ff_reg[2][1]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(1), Q => \dest_graysync_ff[2]\(1), R => '0' ); \dest_graysync_ff_reg[2][2]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(2), Q => \dest_graysync_ff[2]\(2), R => '0' ); \dest_graysync_ff_reg[2][3]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(3), Q => \dest_graysync_ff[2]\(3), R => '0' ); \dest_graysync_ff_reg[2][4]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(4), Q => \dest_graysync_ff[2]\(4), R => '0' ); \dest_graysync_ff_reg[2][5]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(5), Q => \dest_graysync_ff[2]\(5), R => '0' ); \dest_graysync_ff_reg[2][6]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(6), Q => \dest_graysync_ff[2]\(6), R => '0' ); \dest_graysync_ff_reg[2][7]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(7), Q => \dest_graysync_ff[2]\(7), R => '0' ); \dest_graysync_ff_reg[2][8]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(8), Q => \dest_graysync_ff[2]\(8), R => '0' ); \dest_graysync_ff_reg[2][9]\: unisim.vcomponents.FDRE port map ( C => dest_clk, CE => '1', D => \dest_graysync_ff[1]\(9), Q => \dest_graysync_ff[2]\(9), R => '0' ); \dest_out_bin[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(0), I1 => \dest_graysync_ff[2]\(2), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[2]\(3), I4 => \dest_graysync_ff[2]\(1), O => \^dest_out_bin\(0) ); \dest_out_bin[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(1), I1 => \dest_graysync_ff[2]\(3), I2 => \^dest_out_bin\(4), I3 => \dest_graysync_ff[2]\(2), O => \^dest_out_bin\(1) ); \dest_out_bin[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(2), I1 => \^dest_out_bin\(4), I2 => \dest_graysync_ff[2]\(3), O => \^dest_out_bin\(2) ); \dest_out_bin[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(3), I1 => \^dest_out_bin\(4), O => \^dest_out_bin\(3) ); \dest_out_bin[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => \dest_graysync_ff[2]\(4), I1 => \dest_graysync_ff[2]\(6), I2 => \dest_graysync_ff[2]\(8), I3 => \dest_graysync_ff[2]\(9), I4 => \dest_graysync_ff[2]\(7), I5 => \dest_graysync_ff[2]\(5), O => \^dest_out_bin\(4) ); \dest_out_bin[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \dest_graysync_ff[2]\(5), I1 => \dest_graysync_ff[2]\(7), I2 => \dest_graysync_ff[2]\(9), I3 => \dest_graysync_ff[2]\(8), I4 => \dest_graysync_ff[2]\(6), O => \^dest_out_bin\(5) ); \dest_out_bin[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \dest_graysync_ff[2]\(6), I1 => \dest_graysync_ff[2]\(8), I2 => \dest_graysync_ff[2]\(9), I3 => \dest_graysync_ff[2]\(7), O => \^dest_out_bin\(6) ); \dest_out_bin[7]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \dest_graysync_ff[2]\(7), I1 => \dest_graysync_ff[2]\(9), I2 => \dest_graysync_ff[2]\(8), O => \^dest_out_bin\(7) ); \dest_out_bin[8]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \dest_graysync_ff[2]\(8), I1 => \dest_graysync_ff[2]\(9), O => \^dest_out_bin\(8) ); \src_gray_ff[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(1), I1 => src_in_bin(0), O => gray_enc(0) ); \src_gray_ff[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(2), I1 => src_in_bin(1), O => gray_enc(1) ); \src_gray_ff[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(3), I1 => src_in_bin(2), O => gray_enc(2) ); \src_gray_ff[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(4), I1 => src_in_bin(3), O => gray_enc(3) ); \src_gray_ff[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(5), I1 => src_in_bin(4), O => gray_enc(4) ); \src_gray_ff[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(6), I1 => src_in_bin(5), O => gray_enc(5) ); \src_gray_ff[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(7), I1 => src_in_bin(6), O => gray_enc(6) ); \src_gray_ff[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(8), I1 => src_in_bin(7), O => gray_enc(7) ); \src_gray_ff[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => src_in_bin(9), I1 => src_in_bin(8), O => gray_enc(8) ); \src_gray_ff_reg[0]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(0), Q => async_path(0), R => '0' ); \src_gray_ff_reg[1]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(1), Q => async_path(1), R => '0' ); \src_gray_ff_reg[2]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(2), Q => async_path(2), R => '0' ); \src_gray_ff_reg[3]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(3), Q => async_path(3), R => '0' ); \src_gray_ff_reg[4]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(4), Q => async_path(4), R => '0' ); \src_gray_ff_reg[5]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(5), Q => async_path(5), R => '0' ); \src_gray_ff_reg[6]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(6), Q => async_path(6), R => '0' ); \src_gray_ff_reg[7]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(7), Q => async_path(7), R => '0' ); \src_gray_ff_reg[8]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => gray_enc(8), Q => async_path(8), R => '0' ); \src_gray_ff_reg[9]\: unisim.vcomponents.FDRE port map ( C => src_clk, CE => '1', D => src_in_bin(9), Q => async_path(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_cdc_sync_rst is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is 3; attribute INIT : string; attribute INIT of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is 0; attribute VERSION : integer; attribute VERSION of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of axi_chip2chip_64B66B_xpm_cdc_sync_rst : entity is "SYNC_RST"; end axi_chip2chip_64B66B_xpm_cdc_sync_rst; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_cdc_sync_rst is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is 3; attribute INIT : string; attribute INIT of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ : entity is "SYNC_RST"; end \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(2); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_counter_updn is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 0 to 0 ); DI : out STD_LOGIC_VECTOR ( 1 downto 0 ); ram_empty_i : in STD_LOGIC; rd_en : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \src_gray_ff_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_counter_updn : entity is "xpm_counter_updn"; end axi_chip2chip_64B66B_xpm_counter_updn; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_counter_updn is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; begin Q(1 downto 0) <= \^q\(1 downto 0); \count_value_i[0]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"696A9999" ) port map ( I0 => \^q\(0), I1 => ram_empty_i, I2 => rd_en, I3 => \count_value_i_reg[0]_0\(0), I4 => \count_value_i_reg[0]_0\(1), O => \count_value_i[0]_i_1__2_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"9855" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9A9AAAAAA6A666A6" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => rd_en, I5 => ram_empty_i, O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[0]_i_1__2_n_0\, Q => \^q\(0), R => SR(0) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[1]_i_3_n_0\, Q => \^q\(1), R => SR(0) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => src_in_bin(0) ); \grdc.rd_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => DI(1) ); \grdc.rd_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => DI(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_counter_updn_19 is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 1 downto 0 ); DI : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_counter_updn_19 : entity is "xpm_counter_updn"; end axi_chip2chip_64B66B_xpm_counter_updn_19; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_counter_updn_19 is signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; attribute HLUTNM : string; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_16\ : label is "lutpair2"; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_8\ : label is "lutpair2"; begin DI(0) <= \^di\(0); Q(1 downto 0) <= \^q\(1 downto 0); \count_value_i[0]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"5AAAA655" ) port map ( I0 => \^q\(0), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \count_value_i_reg[0]_0\(1), I4 => ram_empty_i, O => \count_value_i[0]_i_1__3_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"C02F" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => ram_empty_i, O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A999A9A96AAA6AAA" ) port map ( I0 => \^q\(1), I1 => ram_empty_i, I2 => \count_value_i_reg[0]_0\(1), I3 => rd_en, I4 => \count_value_i_reg[0]_0\(0), I5 => \^q\(0), O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[0]_i_1__3_n_0\, Q => \^q\(0), R => SR(0) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[1]_i_3_n_0\, Q => \^q\(1), R => SR(0) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"2DD2" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), O => src_in_bin(0) ); \grdc.rd_data_count_i[7]_i_15\: unisim.vcomponents.LUT4 generic map( INIT => X"9669" ) port map ( I0 => \^di\(0), I1 => \^q\(1), I2 => \grdc.rd_data_count_i_reg[7]\(1), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), O => S(1) ); \grdc.rd_data_count_i[7]_i_16\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), O => S(0) ); \grdc.rd_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \^di\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_counter_updn_27 is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 1 downto 0 ); DI : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_counter_updn_27 : entity is "xpm_counter_updn"; end axi_chip2chip_64B66B_xpm_counter_updn_27; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_counter_updn_27 is signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; attribute HLUTNM : string; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_16\ : label is "lutpair0"; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_8\ : label is "lutpair0"; begin DI(0) <= \^di\(0); Q(1 downto 0) <= \^q\(1 downto 0); \count_value_i[0]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"5AAAA655" ) port map ( I0 => \^q\(0), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \count_value_i_reg[0]_0\(1), I4 => ram_empty_i, O => \count_value_i[0]_i_1__3_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"C02F" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => ram_empty_i, O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A999A9A96AAA6AAA" ) port map ( I0 => \^q\(1), I1 => ram_empty_i, I2 => \count_value_i_reg[0]_0\(1), I3 => rd_en, I4 => \count_value_i_reg[0]_0\(0), I5 => \^q\(0), O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[0]_i_1__3_n_0\, Q => \^q\(0), R => SR(0) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[1]_i_3_n_0\, Q => \^q\(1), R => SR(0) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"2DD2" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), O => src_in_bin(0) ); \grdc.rd_data_count_i[7]_i_15\: unisim.vcomponents.LUT4 generic map( INIT => X"9669" ) port map ( I0 => \^di\(0), I1 => \^q\(1), I2 => \grdc.rd_data_count_i_reg[7]\(1), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), O => S(1) ); \grdc.rd_data_count_i[7]_i_16\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), O => S(0) ); \grdc.rd_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \^di\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_counter_updn_38 is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 1 downto 0 ); DI : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_counter_updn_38 : entity is "xpm_counter_updn"; end axi_chip2chip_64B66B_xpm_counter_updn_38; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_counter_updn_38 is signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; attribute HLUTNM : string; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_16\ : label is "lutpair1"; attribute HLUTNM of \grdc.rd_data_count_i[7]_i_8\ : label is "lutpair1"; begin DI(0) <= \^di\(0); Q(1 downto 0) <= \^q\(1 downto 0); \count_value_i[0]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"5AAAA655" ) port map ( I0 => \^q\(0), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \count_value_i_reg[0]_0\(1), I4 => ram_empty_i, O => \count_value_i[0]_i_1__3_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"C02F" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => ram_empty_i, O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A999A9A96AAA6AAA" ) port map ( I0 => \^q\(1), I1 => ram_empty_i, I2 => \count_value_i_reg[0]_0\(1), I3 => rd_en, I4 => \count_value_i_reg[0]_0\(0), I5 => \^q\(0), O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[0]_i_1__3_n_0\, Q => \^q\(0), R => SR(0) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[1]_i_3_n_0\, Q => \^q\(1), R => SR(0) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"2DD2" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), O => src_in_bin(0) ); \grdc.rd_data_count_i[7]_i_15\: unisim.vcomponents.LUT4 generic map( INIT => X"9669" ) port map ( I0 => \^di\(0), I1 => \^q\(1), I2 => \grdc.rd_data_count_i_reg[7]\(1), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), O => S(1) ); \grdc.rd_data_count_i[7]_i_16\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), O => S(0) ); \grdc.rd_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \^di\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_counter_updn_8 is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 0 to 0 ); DI : out STD_LOGIC_VECTOR ( 1 downto 0 ); ram_empty_i : in STD_LOGIC; rd_en : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \src_gray_ff_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_counter_updn_8 : entity is "xpm_counter_updn"; end axi_chip2chip_64B66B_xpm_counter_updn_8; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_counter_updn_8 is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; begin Q(1 downto 0) <= \^q\(1 downto 0); \count_value_i[0]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"696A9999" ) port map ( I0 => \^q\(0), I1 => ram_empty_i, I2 => rd_en, I3 => \count_value_i_reg[0]_0\(0), I4 => \count_value_i_reg[0]_0\(1), O => \count_value_i[0]_i_1__2_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"9855" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9A9AAAAAA6A666A6" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => rd_en, I5 => ram_empty_i, O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[0]_i_1__2_n_0\, Q => \^q\(0), R => SR(0) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \gen_fwft.count_en\, D => \count_value_i[1]_i_3_n_0\, Q => \^q\(1), R => SR(0) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => src_in_bin(0) ); \grdc.rd_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => DI(1) ); \grdc.rd_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \src_gray_ff_reg[0]\(0), O => DI(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); enb : out STD_LOGIC; DI : out STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); S : out STD_LOGIC_VECTOR ( 4 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[8]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__3\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\ : label is "soft_lutpair146"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\ : label is "soft_lutpair146"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(7 downto 0) <= \^q\(7 downto 0); enb <= \^enb\; \count_value_i[0]_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \count_value_i[0]_i_1__4_n_0\ ); \count_value_i[1]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__3_n_0\ ); \count_value_i[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__3_n_0\ ); \count_value_i[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__3_n_0\ ); \count_value_i[4]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__3_n_0\ ); \count_value_i[5]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__2_n_0\ ); \count_value_i[6]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__2_n_0\ ); \count_value_i[6]_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[0]_0\(1), I2 => \count_value_i_reg[0]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__2_n_0\ ); \count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__2_n_0\ ); \count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \count_value_i_reg_n_0_[8]\, O => \count_value_i[8]_i_1__0_n_0\ ); \count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => \^enb\, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[0]_i_1__4_n_0\, Q => \^q\(0), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[1]_i_1__3_n_0\, Q => \^q\(1), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[2]_i_1__3_n_0\, Q => \^q\(2), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[3]_i_1__3_n_0\, Q => \^q\(3), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[4]_i_1__3_n_0\, Q => \^q\(4), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[5]_i_1__2_n_0\, Q => \^q\(5), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[6]_i_1__2_n_0\, Q => \^q\(6), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[7]_i_1__2_n_0\, Q => \^q\(7), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[8]_i_1__0_n_0\, Q => \count_value_i_reg_n_0_[8]\, R => \count_value_i_reg[8]_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(4), I4 => \^q\(6), I5 => \count_value_i_reg_n_0_[8]\, O => src_in_bin(7) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFBFBBAFB" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(5), I4 => \^q\(7), O => src_in_bin(6) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(5), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(4), I3 => \^q\(6), O => src_in_bin(5) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(5), O => src_in_bin(4) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFEAFE00001501" ) port map ( I0 => \^q\(3), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), I5 => \^q\(4), O => src_in_bin(3) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBBAFB04044504" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => src_in_bin(2) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"B0FB4F04" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), O => src_in_bin(1) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => src_in_bin(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0), CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\, DI(7) => '0', DI(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\, DI(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\, DI(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\, DI(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\, DI(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\, DI(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\, DI(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\, O(7 downto 0) => D(7 downto 0), S(7) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\, S(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\, S(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\, S(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\, S(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\, S(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\, S(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\, S(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00FD" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => ram_empty_i, O => \^enb\ ); \grdc.rd_data_count_i[7]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[8]\(4), I2 => \^q\(6), I3 => \grdc.rd_data_count_i_reg[8]\(5), O => S(3) ); \grdc.rd_data_count_i[7]_i_11\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[8]\(3), I2 => \^q\(5), I3 => \grdc.rd_data_count_i_reg[8]\(4), O => S(2) ); \grdc.rd_data_count_i[7]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[8]\(2), I2 => \^q\(4), I3 => \grdc.rd_data_count_i_reg[8]\(3), O => S(1) ); \grdc.rd_data_count_i[7]_i_13\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[8]\(1), I2 => \^q\(3), I3 => \grdc.rd_data_count_i_reg[8]\(2), O => S(0) ); \grdc.rd_data_count_i[7]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"D4" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[8]\(0), O => DI(0) ); \grdc.rd_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[8]\(5), I2 => \^q\(7), I3 => \grdc.rd_data_count_i_reg[8]\(6), O => S(4) ); \grdc.rd_data_count_i[8]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[8]\(6), I2 => \count_value_i_reg_n_0_[8]\, I3 => \grdc.rd_data_count_i_reg[8]\(7), O => \count_value_i_reg[7]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_21\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gwdc.wr_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_21\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_21\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_21\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__1\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair149"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair149"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[8]_i_1\ : label is 35; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__1_n_0\ ); \count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__1_n_0\ ); \count_value_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__1_n_0\ ); \count_value_i[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__1_n_0\ ); \count_value_i[4]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__1_n_0\ ); \count_value_i[5]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__1_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__1_n_0\ ); \count_value_i[6]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__1_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__1_n_0\ ); \count_value_i[6]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__1_n_0\ ); \count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__1_n_0\ ); \count_value_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1_n_0\ ); \count_value_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__1_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1_n_0\, Q => \^q\(8), R => wrst_busy ); \gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gwdc.wr_data_count_i_reg[8]\(7), O => \gwdc.wr_data_count_i[7]_i_2_n_0\ ); \gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gwdc.wr_data_count_i_reg[8]\(6), O => \gwdc.wr_data_count_i[7]_i_3_n_0\ ); \gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gwdc.wr_data_count_i_reg[8]\(5), O => \gwdc.wr_data_count_i[7]_i_4_n_0\ ); \gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gwdc.wr_data_count_i_reg[8]\(4), O => \gwdc.wr_data_count_i[7]_i_5_n_0\ ); \gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gwdc.wr_data_count_i_reg[8]\(3), O => \gwdc.wr_data_count_i[7]_i_6_n_0\ ); \gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gwdc.wr_data_count_i_reg[8]\(2), O => \gwdc.wr_data_count_i[7]_i_7_n_0\ ); \gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gwdc.wr_data_count_i_reg[8]\(1), O => \gwdc.wr_data_count_i[7]_i_8_n_0\ ); \gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gwdc.wr_data_count_i_reg[8]\(0), O => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gwdc.wr_data_count_i_reg[8]\(8), O => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '1', CI_TOP => '0', CO(7) => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CO(6) => \gwdc.wr_data_count_i_reg[7]_i_1_n_1\, CO(5) => \gwdc.wr_data_count_i_reg[7]_i_1_n_2\, CO(4) => \gwdc.wr_data_count_i_reg[7]_i_1_n_3\, CO(3) => \gwdc.wr_data_count_i_reg[7]_i_1_n_4\, CO(2) => \gwdc.wr_data_count_i_reg[7]_i_1_n_5\, CO(1) => \gwdc.wr_data_count_i_reg[7]_i_1_n_6\, CO(0) => \gwdc.wr_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \gwdc.wr_data_count_i[7]_i_2_n_0\, S(6) => \gwdc.wr_data_count_i[7]_i_3_n_0\, S(5) => \gwdc.wr_data_count_i[7]_i_4_n_0\, S(4) => \gwdc.wr_data_count_i[7]_i_5_n_0\, S(3) => \gwdc.wr_data_count_i[7]_i_6_n_0\, S(2) => \gwdc.wr_data_count_i[7]_i_7_n_0\, S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_28\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); enb : out STD_LOGIC; DI : out STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); S : out STD_LOGIC_VECTOR ( 4 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[8]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_28\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_28\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_28\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__3\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\ : label is "soft_lutpair113"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(7 downto 0) <= \^q\(7 downto 0); enb <= \^enb\; \count_value_i[0]_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \count_value_i[0]_i_1__4_n_0\ ); \count_value_i[1]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__3_n_0\ ); \count_value_i[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__3_n_0\ ); \count_value_i[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__3_n_0\ ); \count_value_i[4]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__3_n_0\ ); \count_value_i[5]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__2_n_0\ ); \count_value_i[6]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__2_n_0\ ); \count_value_i[6]_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[0]_0\(1), I2 => \count_value_i_reg[0]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__2_n_0\ ); \count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__2_n_0\ ); \count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \count_value_i_reg_n_0_[8]\, O => \count_value_i[8]_i_1__0_n_0\ ); \count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => \^enb\, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[0]_i_1__4_n_0\, Q => \^q\(0), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[1]_i_1__3_n_0\, Q => \^q\(1), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[2]_i_1__3_n_0\, Q => \^q\(2), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[3]_i_1__3_n_0\, Q => \^q\(3), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[4]_i_1__3_n_0\, Q => \^q\(4), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[5]_i_1__2_n_0\, Q => \^q\(5), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[6]_i_1__2_n_0\, Q => \^q\(6), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[7]_i_1__2_n_0\, Q => \^q\(7), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[8]_i_1__0_n_0\, Q => \count_value_i_reg_n_0_[8]\, R => \count_value_i_reg[8]_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(4), I4 => \^q\(6), I5 => \count_value_i_reg_n_0_[8]\, O => src_in_bin(7) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFBFBBAFB" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(5), I4 => \^q\(7), O => src_in_bin(6) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(5), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(4), I3 => \^q\(6), O => src_in_bin(5) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(5), O => src_in_bin(4) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFEAFE00001501" ) port map ( I0 => \^q\(3), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), I5 => \^q\(4), O => src_in_bin(3) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBBAFB04044504" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => src_in_bin(2) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"B0FB4F04" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), O => src_in_bin(1) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => src_in_bin(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0), CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\, DI(7) => '0', DI(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\, DI(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\, DI(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\, DI(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\, DI(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\, DI(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\, DI(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\, O(7 downto 0) => D(7 downto 0), S(7) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\, S(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\, S(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\, S(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\, S(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\, S(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\, S(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\, S(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00FD" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => ram_empty_i, O => \^enb\ ); \grdc.rd_data_count_i[7]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[8]\(4), I2 => \^q\(6), I3 => \grdc.rd_data_count_i_reg[8]\(5), O => S(3) ); \grdc.rd_data_count_i[7]_i_11\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[8]\(3), I2 => \^q\(5), I3 => \grdc.rd_data_count_i_reg[8]\(4), O => S(2) ); \grdc.rd_data_count_i[7]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[8]\(2), I2 => \^q\(4), I3 => \grdc.rd_data_count_i_reg[8]\(3), O => S(1) ); \grdc.rd_data_count_i[7]_i_13\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[8]\(1), I2 => \^q\(3), I3 => \grdc.rd_data_count_i_reg[8]\(2), O => S(0) ); \grdc.rd_data_count_i[7]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"D4" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[8]\(0), O => DI(0) ); \grdc.rd_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[8]\(5), I2 => \^q\(7), I3 => \grdc.rd_data_count_i_reg[8]\(6), O => S(4) ); \grdc.rd_data_count_i[8]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[8]\(6), I2 => \count_value_i_reg_n_0_[8]\, I3 => \grdc.rd_data_count_i_reg[8]\(7), O => \count_value_i_reg[7]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_31\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gwdc.wr_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_31\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_31\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_31\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair116"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[8]_i_1\ : label is 35; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__1_n_0\ ); \count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__1_n_0\ ); \count_value_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__1_n_0\ ); \count_value_i[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__1_n_0\ ); \count_value_i[4]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__1_n_0\ ); \count_value_i[5]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__1_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__1_n_0\ ); \count_value_i[6]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__1_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__1_n_0\ ); \count_value_i[6]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__1_n_0\ ); \count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__1_n_0\ ); \count_value_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1_n_0\ ); \count_value_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__1_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1_n_0\, Q => \^q\(8), R => wrst_busy ); \gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gwdc.wr_data_count_i_reg[8]\(7), O => \gwdc.wr_data_count_i[7]_i_2_n_0\ ); \gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gwdc.wr_data_count_i_reg[8]\(6), O => \gwdc.wr_data_count_i[7]_i_3_n_0\ ); \gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gwdc.wr_data_count_i_reg[8]\(5), O => \gwdc.wr_data_count_i[7]_i_4_n_0\ ); \gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gwdc.wr_data_count_i_reg[8]\(4), O => \gwdc.wr_data_count_i[7]_i_5_n_0\ ); \gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gwdc.wr_data_count_i_reg[8]\(3), O => \gwdc.wr_data_count_i[7]_i_6_n_0\ ); \gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gwdc.wr_data_count_i_reg[8]\(2), O => \gwdc.wr_data_count_i[7]_i_7_n_0\ ); \gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gwdc.wr_data_count_i_reg[8]\(1), O => \gwdc.wr_data_count_i[7]_i_8_n_0\ ); \gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gwdc.wr_data_count_i_reg[8]\(0), O => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gwdc.wr_data_count_i_reg[8]\(8), O => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '1', CI_TOP => '0', CO(7) => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CO(6) => \gwdc.wr_data_count_i_reg[7]_i_1_n_1\, CO(5) => \gwdc.wr_data_count_i_reg[7]_i_1_n_2\, CO(4) => \gwdc.wr_data_count_i_reg[7]_i_1_n_3\, CO(3) => \gwdc.wr_data_count_i_reg[7]_i_1_n_4\, CO(2) => \gwdc.wr_data_count_i_reg[7]_i_1_n_5\, CO(1) => \gwdc.wr_data_count_i_reg[7]_i_1_n_6\, CO(0) => \gwdc.wr_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \gwdc.wr_data_count_i[7]_i_2_n_0\, S(6) => \gwdc.wr_data_count_i[7]_i_3_n_0\, S(5) => \gwdc.wr_data_count_i[7]_i_4_n_0\, S(4) => \gwdc.wr_data_count_i[7]_i_5_n_0\, S(3) => \gwdc.wr_data_count_i[7]_i_6_n_0\, S(2) => \gwdc.wr_data_count_i[7]_i_7_n_0\, S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_39\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); enb : out STD_LOGIC; DI : out STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); S : out STD_LOGIC_VECTOR ( 4 downto 0 ); src_in_bin : out STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[8]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_39\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_39\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_39\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__3\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\ : label is "soft_lutpair79"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(7 downto 0) <= \^q\(7 downto 0); enb <= \^enb\; \count_value_i[0]_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \count_value_i[0]_i_1__4_n_0\ ); \count_value_i[1]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__3_n_0\ ); \count_value_i[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__3_n_0\ ); \count_value_i[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__3_n_0\ ); \count_value_i[4]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__3_n_0\ ); \count_value_i[5]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__2_n_0\ ); \count_value_i[6]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__2_n_0\ ); \count_value_i[6]_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[0]_0\(1), I2 => \count_value_i_reg[0]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__2_n_0\ ); \count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__2_n_0\ ); \count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \count_value_i_reg_n_0_[8]\, O => \count_value_i[8]_i_1__0_n_0\ ); \count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => \^enb\, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[0]_i_1__4_n_0\, Q => \^q\(0), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[1]_i_1__3_n_0\, Q => \^q\(1), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[2]_i_1__3_n_0\, Q => \^q\(2), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[3]_i_1__3_n_0\, Q => \^q\(3), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[4]_i_1__3_n_0\, Q => \^q\(4), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[5]_i_1__2_n_0\, Q => \^q\(5), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[6]_i_1__2_n_0\, Q => \^q\(6), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[7]_i_1__2_n_0\, Q => \^q\(7), R => \count_value_i_reg[8]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^enb\, D => \count_value_i[8]_i_1__0_n_0\, Q => \count_value_i_reg_n_0_[8]\, R => \count_value_i_reg[8]_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(4), I4 => \^q\(6), I5 => \count_value_i_reg_n_0_[8]\, O => src_in_bin(7) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFBFBBAFB" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I3 => \^q\(5), I4 => \^q\(7), O => src_in_bin(6) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(5), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(4), I3 => \^q\(6), O => src_in_bin(5) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_10_n_0\, I2 => \^q\(5), O => src_in_bin(4) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFEAFE00001501" ) port map ( I0 => \^q\(3), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), I5 => \^q\(4), O => src_in_bin(3) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBBAFB04044504" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(0), I4 => \^q\(0), I5 => \^q\(3), O => src_in_bin(2) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"B0FB4F04" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \^q\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(2), O => src_in_bin(1) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), O => src_in_bin(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAA5455" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(0), I3 => \count_value_i_reg[0]_0\(1), I4 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(6), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(5), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(4), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(3), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(2), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(1), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0), CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\, DI(7) => '0', DI(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2_n_0\, DI(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3_n_0\, DI(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4_n_0\, DI(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5_n_0\, DI(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6_n_0\, DI(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7_n_0\, DI(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8_n_0\, O(7 downto 0) => D(7 downto 0), S(7) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9_n_0\, S(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10_n_0\, S(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_11_n_0\, S(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_12_n_0\, S(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_13_n_0\, S(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_14_n_0\, S(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_15_n_0\, S(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_16_n_0\ ); \gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00FD" ) port map ( I0 => \count_value_i_reg[0]_0\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => rd_en, I3 => ram_empty_i, O => \^enb\ ); \grdc.rd_data_count_i[7]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[8]\(4), I2 => \^q\(6), I3 => \grdc.rd_data_count_i_reg[8]\(5), O => S(3) ); \grdc.rd_data_count_i[7]_i_11\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[8]\(3), I2 => \^q\(5), I3 => \grdc.rd_data_count_i_reg[8]\(4), O => S(2) ); \grdc.rd_data_count_i[7]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[8]\(2), I2 => \^q\(4), I3 => \grdc.rd_data_count_i_reg[8]\(3), O => S(1) ); \grdc.rd_data_count_i[7]_i_13\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[8]\(1), I2 => \^q\(3), I3 => \grdc.rd_data_count_i_reg[8]\(2), O => S(0) ); \grdc.rd_data_count_i[7]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"D4" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[8]\(0), O => DI(0) ); \grdc.rd_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[8]\(5), I2 => \^q\(7), I3 => \grdc.rd_data_count_i_reg[8]\(6), O => S(4) ); \grdc.rd_data_count_i[8]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[8]\(6), I2 => \count_value_i_reg_n_0_[8]\, I3 => \grdc.rd_data_count_i_reg[8]\(7), O => \count_value_i_reg[7]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_42\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gwdc.wr_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_42\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_42\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_42\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[8]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair82"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[8]_i_1\ : label is 35; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__1_n_0\ ); \count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__1_n_0\ ); \count_value_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__1_n_0\ ); \count_value_i[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__1_n_0\ ); \count_value_i[4]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__1_n_0\ ); \count_value_i[5]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__1_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__1_n_0\ ); \count_value_i[6]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__1_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__1_n_0\ ); \count_value_i[6]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__1_n_0\ ); \count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__1_n_0\ ); \count_value_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1_n_0\ ); \count_value_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__1_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1_n_0\, Q => \^q\(8), R => wrst_busy ); \gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gwdc.wr_data_count_i_reg[8]\(7), O => \gwdc.wr_data_count_i[7]_i_2_n_0\ ); \gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gwdc.wr_data_count_i_reg[8]\(6), O => \gwdc.wr_data_count_i[7]_i_3_n_0\ ); \gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gwdc.wr_data_count_i_reg[8]\(5), O => \gwdc.wr_data_count_i[7]_i_4_n_0\ ); \gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gwdc.wr_data_count_i_reg[8]\(4), O => \gwdc.wr_data_count_i[7]_i_5_n_0\ ); \gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gwdc.wr_data_count_i_reg[8]\(3), O => \gwdc.wr_data_count_i[7]_i_6_n_0\ ); \gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gwdc.wr_data_count_i_reg[8]\(2), O => \gwdc.wr_data_count_i[7]_i_7_n_0\ ); \gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gwdc.wr_data_count_i_reg[8]\(1), O => \gwdc.wr_data_count_i[7]_i_8_n_0\ ); \gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gwdc.wr_data_count_i_reg[8]\(0), O => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gwdc.wr_data_count_i_reg[8]\(8), O => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '1', CI_TOP => '0', CO(7) => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CO(6) => \gwdc.wr_data_count_i_reg[7]_i_1_n_1\, CO(5) => \gwdc.wr_data_count_i_reg[7]_i_1_n_2\, CO(4) => \gwdc.wr_data_count_i_reg[7]_i_1_n_3\, CO(3) => \gwdc.wr_data_count_i_reg[7]_i_1_n_4\, CO(2) => \gwdc.wr_data_count_i_reg[7]_i_1_n_5\, CO(1) => \gwdc.wr_data_count_i_reg[7]_i_1_n_6\, CO(0) => \gwdc.wr_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \gwdc.wr_data_count_i[7]_i_2_n_0\, S(6) => \gwdc.wr_data_count_i[7]_i_3_n_0\, S(5) => \gwdc.wr_data_count_i[7]_i_4_n_0\, S(4) => \gwdc.wr_data_count_i[7]_i_5_n_0\, S(3) => \gwdc.wr_data_count_i[7]_i_6_n_0\, S(2) => \gwdc.wr_data_count_i[7]_i_7_n_0\, S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gwdc.wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \gwdc.wr_data_count_i[8]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair147"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__2\ : label is "soft_lutpair147"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"10EF" ) port map ( I0 => rd_en, I1 => \count_value_i_reg[1]_0\(0), I2 => \count_value_i_reg[1]_0\(1), I3 => \^q\(0), O => \count_value_i[0]_i_1__2_n_0\ ); \count_value_i[1]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[1]_0\(1), I1 => \count_value_i_reg[1]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__2_n_0\ ); \count_value_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__2_n_0\ ); \count_value_i[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__2_n_0\ ); \count_value_i[4]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__2_n_0\ ); \count_value_i[5]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__3_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__3_n_0\ ); \count_value_i[6]_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__3_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__3_n_0\ ); \count_value_i[6]_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[1]_0\(1), I2 => \count_value_i_reg[1]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__3_n_0\ ); \count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__1_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__3_n_0\ ); \count_value_i[7]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => E(0), I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[0]_i_1__2_n_0\, Q => \^q\(0), S => \count_value_i_reg[0]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[1]_i_1__2_n_0\, Q => \^q\(1), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[2]_i_1__2_n_0\, Q => \^q\(2), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[3]_i_1__2_n_0\, Q => \^q\(3), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[4]_i_1__2_n_0\, Q => \^q\(4), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[5]_i_1__3_n_0\, Q => \^q\(5), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[6]_i_1__3_n_0\, Q => \^q\(6), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[7]_i_1__3_n_0\, Q => \^q\(7), R => \count_value_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_22\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : out STD_LOGIC_VECTOR ( 6 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_22\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_22\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_22\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__0_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair152"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__0\ : label is "soft_lutpair152"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__0_n_0\ ); \count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__0_n_0\ ); \count_value_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__0_n_0\ ); \count_value_i[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__0_n_0\ ); \count_value_i[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__0_n_0\ ); \count_value_i[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__0_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__0_n_0\ ); \count_value_i[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__0_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__0_n_0\ ); \count_value_i[6]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__0_n_0\ ); \count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__0_n_0\ ); \count_value_i[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__0_n_0\, Q => \^q\(0), S => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__0_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__0_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__0_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__0_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__0_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__0_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__0_n_0\, Q => \^q\(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(6), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(5), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(4), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(3), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(2), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(1), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(0), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => wr_pntr_plus1_pf_carry, CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\, DI(7) => '0', DI(6 downto 0) => \^q\(6 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\(0), S(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\, S(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\, S(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\, S(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\, S(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\, S(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\, S(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\, S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_29\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_29\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_29\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_29\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__2\ : label is "soft_lutpair114"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"10EF" ) port map ( I0 => rd_en, I1 => \count_value_i_reg[1]_0\(0), I2 => \count_value_i_reg[1]_0\(1), I3 => \^q\(0), O => \count_value_i[0]_i_1__2_n_0\ ); \count_value_i[1]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[1]_0\(1), I1 => \count_value_i_reg[1]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__2_n_0\ ); \count_value_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__2_n_0\ ); \count_value_i[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__2_n_0\ ); \count_value_i[4]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__2_n_0\ ); \count_value_i[5]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__3_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__3_n_0\ ); \count_value_i[6]_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__3_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__3_n_0\ ); \count_value_i[6]_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[1]_0\(1), I2 => \count_value_i_reg[1]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__3_n_0\ ); \count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__1_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__3_n_0\ ); \count_value_i[7]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => E(0), I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[0]_i_1__2_n_0\, Q => \^q\(0), S => \count_value_i_reg[0]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[1]_i_1__2_n_0\, Q => \^q\(1), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[2]_i_1__2_n_0\, Q => \^q\(2), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[3]_i_1__2_n_0\, Q => \^q\(3), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[4]_i_1__2_n_0\, Q => \^q\(4), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[5]_i_1__3_n_0\, Q => \^q\(5), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[6]_i_1__3_n_0\, Q => \^q\(6), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[7]_i_1__3_n_0\, Q => \^q\(7), R => \count_value_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_32\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : out STD_LOGIC_VECTOR ( 6 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_32\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_32\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_32\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__0_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__0\ : label is "soft_lutpair119"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__0_n_0\ ); \count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__0_n_0\ ); \count_value_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__0_n_0\ ); \count_value_i[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__0_n_0\ ); \count_value_i[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__0_n_0\ ); \count_value_i[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__0_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__0_n_0\ ); \count_value_i[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__0_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__0_n_0\ ); \count_value_i[6]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__0_n_0\ ); \count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__0_n_0\ ); \count_value_i[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__0_n_0\, Q => \^q\(0), S => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__0_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__0_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__0_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__0_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__0_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__0_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__0_n_0\, Q => \^q\(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(6), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(5), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(4), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(3), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(2), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(1), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(0), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => wr_pntr_plus1_pf_carry, CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\, DI(7) => '0', DI(6 downto 0) => \^q\(6 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\(0), S(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\, S(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\, S(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\, S(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\, S(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\, S(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\, S(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\, S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_40\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_40\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_40\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_40\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__2\ : label is "soft_lutpair80"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"10EF" ) port map ( I0 => rd_en, I1 => \count_value_i_reg[1]_0\(0), I2 => \count_value_i_reg[1]_0\(1), I3 => \^q\(0), O => \count_value_i[0]_i_1__2_n_0\ ); \count_value_i[1]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"02FFFD00" ) port map ( I0 => \count_value_i_reg[1]_0\(1), I1 => \count_value_i_reg[1]_0\(0), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__2_n_0\ ); \count_value_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__2_n_0\ ); \count_value_i[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__2_n_0\ ); \count_value_i[4]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__2_n_0\ ); \count_value_i[5]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__3_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__3_n_0\ ); \count_value_i[6]_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__3_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__3_n_0\ ); \count_value_i[6]_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAA200000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[1]_0\(1), I2 => \count_value_i_reg[1]_0\(0), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__3_n_0\ ); \count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__1_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__3_n_0\ ); \count_value_i[7]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => E(0), I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[0]_i_1__2_n_0\, Q => \^q\(0), S => \count_value_i_reg[0]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[1]_i_1__2_n_0\, Q => \^q\(1), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[2]_i_1__2_n_0\, Q => \^q\(2), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[3]_i_1__2_n_0\, Q => \^q\(3), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[4]_i_1__2_n_0\, Q => \^q\(4), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[5]_i_1__3_n_0\, Q => \^q\(5), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[6]_i_1__3_n_0\, Q => \^q\(6), R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[7]_i_1__3_n_0\, Q => \^q\(7), R => \count_value_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_43\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : out STD_LOGIC_VECTOR ( 6 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_43\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_43\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_43\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2__0_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 to 7 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__0\ : label is "soft_lutpair85"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__0_n_0\ ); \count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__0_n_0\ ); \count_value_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__0_n_0\ ); \count_value_i[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__0_n_0\ ); \count_value_i[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__0_n_0\ ); \count_value_i[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__0_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__0_n_0\ ); \count_value_i[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__0_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__0_n_0\ ); \count_value_i[6]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__0_n_0\ ); \count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__0_n_0\ ); \count_value_i[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__0_n_0\, Q => \^q\(0), S => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__0_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__0_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__0_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__0_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__0_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__0_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__0_n_0\, Q => \^q\(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(6), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(5), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(4), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(3), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(2), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(1), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(0), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => wr_pntr_plus1_pf_carry, CI_TOP => '0', CO(7) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_CO_UNCONNECTED\(7), CO(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\, DI(7) => '0', DI(6 downto 0) => \^q\(6 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\(0), S(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\, S(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\, S(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\, S(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\, S(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\, S(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\, S(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\, S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair155"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair155"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair154"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1\ : label is "soft_lutpair154"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1_n_0\ ); \count_value_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1_n_0\ ); \count_value_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1_n_0\ ); \count_value_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1_n_0\ ); \count_value_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1_n_0\ ); \count_value_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1_n_0\ ); \count_value_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2_n_0\ ); \count_value_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1_n_0\ ); \count_value_i[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1_n_0\, Q => \^q\(1), S => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1_n_0\, Q => \^q\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_33\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_33\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_33\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_33\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1\ : label is "soft_lutpair121"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1_n_0\ ); \count_value_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1_n_0\ ); \count_value_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1_n_0\ ); \count_value_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1_n_0\ ); \count_value_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1_n_0\ ); \count_value_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1_n_0\ ); \count_value_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2_n_0\ ); \count_value_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1_n_0\ ); \count_value_i[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1_n_0\, Q => \^q\(1), S => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1_n_0\, Q => \^q\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_44\ is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[6]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_44\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_44\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_44\ is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1\ : label is "soft_lutpair87"; begin Q(7 downto 0) <= \^q\(7 downto 0); \count_value_i[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1_n_0\ ); \count_value_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1_n_0\ ); \count_value_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1_n_0\ ); \count_value_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1_n_0\ ); \count_value_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1_n_0\ ); \count_value_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1_n_0\ ); \count_value_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[6]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2_n_0\ ); \count_value_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[7]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1_n_0\ ); \count_value_i[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[7]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1_n_0\, Q => \^q\(1), S => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1_n_0\, Q => \^q\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); ram_rd_en_i : out STD_LOGIC; \reg_out_i_reg[7]\ : out STD_LOGIC; src_in_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[1]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); DI : in STD_LOGIC_VECTOR ( 7 downto 0 ); \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[9]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3\ is signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_2__0_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_8_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_10_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_11_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_12_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_13_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_14_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_15_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_16_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_17_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[9]_i_5_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[9]_i_2_n_7\ : STD_LOGIC; signal \^ram_rd_en_i\ : STD_LOGIC; signal \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_grdc.rd_data_count_i_reg[9]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_grdc.rd_data_count_i_reg[9]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair247"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair244"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__3\ : label is "soft_lutpair244"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__3\ : label is "soft_lutpair241"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__3\ : label is "soft_lutpair241"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\ : label is "soft_lutpair245"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\ : label is "soft_lutpair242"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12\ : label is "soft_lutpair243"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\ : label is "soft_lutpair245"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\ : label is "soft_lutpair242"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\ : label is "soft_lutpair246"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\ : label is "soft_lutpair246"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\ : label is "soft_lutpair243"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\ : label is "soft_lutpair247"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[9]_i_2\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[9]_i_2\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(9 downto 0) <= \^q\(9 downto 0); ram_rd_en_i <= \^ram_rd_en_i\; \count_value_i[0]_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"AABA5545" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => \^q\(0), O => \count_value_i[0]_i_1__4_n_0\ ); \count_value_i[1]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"04FFFB00" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => \count_value_i_reg[0]_0\(1), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__3_n_0\ ); \count_value_i[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__3_n_0\ ); \count_value_i[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(3), O => \count_value_i[3]_i_1__3_n_0\ ); \count_value_i[4]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__3_n_0\ ); \count_value_i[5]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(4), I1 => \^q\(3), I2 => \^q\(2), I3 => \count_value_i[6]_i_2__3_n_0\, I4 => \^q\(5), O => \count_value_i[5]_i_1__3_n_0\ ); \count_value_i[6]_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \count_value_i[6]_i_2__3_n_0\, I1 => \^q\(2), I2 => \^q\(3), I3 => \^q\(4), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__3_n_0\ ); \count_value_i[6]_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AA8A00000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => \count_value_i_reg[0]_0\(1), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__3_n_0\ ); \count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"F708" ) port map ( I0 => \^q\(6), I1 => \^q\(5), I2 => \count_value_i[9]_i_2__0_n_0\, I3 => \^q\(7), O => \count_value_i[7]_i_1__3_n_0\ ); \count_value_i[8]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFF4000" ) port map ( I0 => \count_value_i[9]_i_2__0_n_0\, I1 => \^q\(5), I2 => \^q\(6), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__3_n_0\ ); \count_value_i[9]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF7FFF00008000" ) port map ( I0 => \^q\(8), I1 => \^q\(7), I2 => \^q\(6), I3 => \^q\(5), I4 => \count_value_i[9]_i_2__0_n_0\, I5 => \^q\(9), O => \count_value_i[9]_i_1__0_n_0\ ); \count_value_i[9]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^q\(0), I1 => \^ram_rd_en_i\, I2 => \^q\(1), I3 => \^q\(2), I4 => \^q\(3), I5 => \^q\(4), O => \count_value_i[9]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[0]_i_1__4_n_0\, Q => \^q\(0), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[1]_i_1__3_n_0\, Q => \^q\(1), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[2]_i_1__3_n_0\, Q => \^q\(2), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[3]_i_1__3_n_0\, Q => \^q\(3), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[4]_i_1__3_n_0\, Q => \^q\(4), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[5]_i_1__3_n_0\, Q => \^q\(5), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[6]_i_1__3_n_0\, Q => \^q\(6), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[7]_i_1__3_n_0\, Q => \^q\(7), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[8]_i_1__3_n_0\, Q => \^q\(8), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[9]_i_1__0_n_0\, Q => \^q\(9), R => \count_value_i_reg[9]_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(8), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(7), I3 => \^q\(9), O => src_in_bin(8) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(5), I1 => \^q\(3), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(4), I4 => \^q\(6), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFDD4D" ) port map ( I0 => \grdc.rd_data_count_i_reg[7]\(1), I1 => \^q\(1), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(0), I4 => \^q\(2), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \^q\(8), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(7), O => src_in_bin(7) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(7), O => src_in_bin(6) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(3), I4 => \^q\(5), O => src_in_bin(5) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I2 => \^q\(3), I3 => \^q\(5), O => src_in_bin(4) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I2 => \^q\(3), O => src_in_bin(3) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"EFAAFFEF10550010" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(1), I4 => \grdc.rd_data_count_i_reg[7]\(1), I5 => \^q\(3), O => src_in_bin(2) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"9A55AA9A" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(1), I4 => \grdc.rd_data_count_i_reg[7]\(1), O => src_in_bin(1) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"6696" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(0), O => src_in_bin(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"AABA5545" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => \^q\(0), O => \count_value_i_reg[7]_0\(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(7), O => \count_value_i_reg[7]_0\(7) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(6), O => \count_value_i_reg[7]_0\(6) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(5), O => \count_value_i_reg[7]_0\(5) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(4), O => \count_value_i_reg[7]_0\(4) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(3), O => \count_value_i_reg[7]_0\(3) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(2), O => \count_value_i_reg[7]_0\(2) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(1), O => \count_value_i_reg[7]_0\(1) ); \gen_pf_ic_rc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(1), I2 => \^q\(0), I3 => \gen_pf_ic_rc.ram_empty_i_reg\(0), I4 => \^q\(2), I5 => \gen_pf_ic_rc.ram_empty_i_reg\(2), O => \count_value_i_reg[1]_0\ ); \gen_pf_ic_rc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"8200008200000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\, I1 => \gen_pf_ic_rc.ram_empty_i_reg\(7), I2 => \^q\(7), I3 => \^q\(8), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(8), I5 => \gen_pf_ic_rc.ram_empty_i_i_8_n_0\, O => \reg_out_i_reg[7]\ ); \gen_pf_ic_rc.ram_empty_i_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(6), O => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(4), I2 => \^q\(3), I3 => \gen_pf_ic_rc.ram_empty_i_reg\(3), I4 => \^q\(5), I5 => \gen_pf_ic_rc.ram_empty_i_reg\(5), O => \gen_pf_ic_rc.ram_empty_i_i_8_n_0\ ); \gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => \count_value_i_reg[0]_0\(1), I2 => rd_en, I3 => ram_empty_i, O => \^ram_rd_en_i\ ); \grdc.rd_data_count_i[7]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[9]_0\(6), I2 => \^q\(7), I3 => \grdc.rd_data_count_i_reg[9]_0\(7), O => \grdc.rd_data_count_i[7]_i_10_n_0\ ); \grdc.rd_data_count_i[7]_i_11\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[9]_0\(5), I2 => \^q\(6), I3 => \grdc.rd_data_count_i_reg[9]_0\(6), O => \grdc.rd_data_count_i[7]_i_11_n_0\ ); \grdc.rd_data_count_i[7]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[9]_0\(4), I2 => \^q\(5), I3 => \grdc.rd_data_count_i_reg[9]_0\(5), O => \grdc.rd_data_count_i[7]_i_12_n_0\ ); \grdc.rd_data_count_i[7]_i_13\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[9]_0\(3), I2 => \^q\(4), I3 => \grdc.rd_data_count_i_reg[9]_0\(4), O => \grdc.rd_data_count_i[7]_i_13_n_0\ ); \grdc.rd_data_count_i[7]_i_14\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[9]_0\(2), I2 => \^q\(3), I3 => \grdc.rd_data_count_i_reg[9]_0\(3), O => \grdc.rd_data_count_i[7]_i_14_n_0\ ); \grdc.rd_data_count_i[7]_i_15\: unisim.vcomponents.LUT5 generic map( INIT => X"2BD4D42B" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[9]_0\(1), I3 => \^q\(2), I4 => \grdc.rd_data_count_i_reg[9]_0\(2), O => \grdc.rd_data_count_i[7]_i_15_n_0\ ); \grdc.rd_data_count_i[7]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"D22D2DD2" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]_0\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(1), O => \grdc.rd_data_count_i[7]_i_16_n_0\ ); \grdc.rd_data_count_i[7]_i_17\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]_0\(0), O => \grdc.rd_data_count_i[7]_i_17_n_0\ ); \grdc.rd_data_count_i[9]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[9]_0\(7), I2 => \^q\(8), I3 => \grdc.rd_data_count_i_reg[9]_0\(8), O => \grdc.rd_data_count_i[9]_i_5_n_0\ ); \grdc.rd_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CO(6) => \grdc.rd_data_count_i_reg[7]_i_1_n_1\, CO(5) => \grdc.rd_data_count_i_reg[7]_i_1_n_2\, CO(4) => \grdc.rd_data_count_i_reg[7]_i_1_n_3\, CO(3) => \grdc.rd_data_count_i_reg[7]_i_1_n_4\, CO(2) => \grdc.rd_data_count_i_reg[7]_i_1_n_5\, CO(1) => \grdc.rd_data_count_i_reg[7]_i_1_n_6\, CO(0) => \grdc.rd_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => DI(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \grdc.rd_data_count_i[7]_i_10_n_0\, S(6) => \grdc.rd_data_count_i[7]_i_11_n_0\, S(5) => \grdc.rd_data_count_i[7]_i_12_n_0\, S(4) => \grdc.rd_data_count_i[7]_i_13_n_0\, S(3) => \grdc.rd_data_count_i[7]_i_14_n_0\, S(2) => \grdc.rd_data_count_i[7]_i_15_n_0\, S(1) => \grdc.rd_data_count_i[7]_i_16_n_0\, S(0) => \grdc.rd_data_count_i[7]_i_17_n_0\ ); \grdc.rd_data_count_i_reg[9]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 1) => \NLW_grdc.rd_data_count_i_reg[9]_i_2_CO_UNCONNECTED\(7 downto 1), CO(0) => \grdc.rd_data_count_i_reg[9]_i_2_n_7\, DI(7 downto 1) => B"0000000", DI(0) => \grdc.rd_data_count_i_reg[9]\(0), O(7 downto 2) => \NLW_grdc.rd_data_count_i_reg[9]_i_2_O_UNCONNECTED\(7 downto 2), O(1 downto 0) => D(8 downto 7), S(7 downto 2) => B"000000", S(1) => S(0), S(0) => \grdc.rd_data_count_i[9]_i_5_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_12\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); D : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gwdc.wr_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_12\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_12\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_12\ is signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[9]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[9]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[9]_i_1_n_7\ : STD_LOGIC; signal \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair192"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__1\ : label is "soft_lutpair192"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1\ : label is "soft_lutpair191"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair191"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[9]_i_1\ : label is 35; begin Q(9 downto 0) <= \^q\(9 downto 0); \count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__1_n_0\ ); \count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__1_n_0\ ); \count_value_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__1_n_0\ ); \count_value_i[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__1_n_0\ ); \count_value_i[4]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__1_n_0\ ); \count_value_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1_n_0\ ); \count_value_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1_n_0\ ); \count_value_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2_n_0\ ); \count_value_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[9]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1_n_0\ ); \count_value_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[9]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1_n_0\ ); \count_value_i[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \count_value_i[9]_i_2_n_0\, I3 => \^q\(6), I4 => \^q\(8), I5 => \^q\(9), O => \count_value_i[9]_i_1_n_0\ ); \count_value_i[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[9]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__1_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1_n_0\, Q => \^q\(8), R => wrst_busy ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[9]_i_1_n_0\, Q => \^q\(9), R => wrst_busy ); \gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gwdc.wr_data_count_i_reg[9]\(7), O => \gwdc.wr_data_count_i[7]_i_2_n_0\ ); \gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gwdc.wr_data_count_i_reg[9]\(6), O => \gwdc.wr_data_count_i[7]_i_3_n_0\ ); \gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gwdc.wr_data_count_i_reg[9]\(5), O => \gwdc.wr_data_count_i[7]_i_4_n_0\ ); \gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gwdc.wr_data_count_i_reg[9]\(4), O => \gwdc.wr_data_count_i[7]_i_5_n_0\ ); \gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gwdc.wr_data_count_i_reg[9]\(3), O => \gwdc.wr_data_count_i[7]_i_6_n_0\ ); \gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gwdc.wr_data_count_i_reg[9]\(2), O => \gwdc.wr_data_count_i[7]_i_7_n_0\ ); \gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gwdc.wr_data_count_i_reg[9]\(1), O => \gwdc.wr_data_count_i[7]_i_8_n_0\ ); \gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gwdc.wr_data_count_i_reg[9]\(0), O => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i[9]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(9), I1 => \gwdc.wr_data_count_i_reg[9]\(9), O => \gwdc.wr_data_count_i[9]_i_2_n_0\ ); \gwdc.wr_data_count_i[9]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gwdc.wr_data_count_i_reg[9]\(8), O => \gwdc.wr_data_count_i[9]_i_3_n_0\ ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '1', CI_TOP => '0', CO(7) => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CO(6) => \gwdc.wr_data_count_i_reg[7]_i_1_n_1\, CO(5) => \gwdc.wr_data_count_i_reg[7]_i_1_n_2\, CO(4) => \gwdc.wr_data_count_i_reg[7]_i_1_n_3\, CO(3) => \gwdc.wr_data_count_i_reg[7]_i_1_n_4\, CO(2) => \gwdc.wr_data_count_i_reg[7]_i_1_n_5\, CO(1) => \gwdc.wr_data_count_i_reg[7]_i_1_n_6\, CO(0) => \gwdc.wr_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \gwdc.wr_data_count_i[7]_i_2_n_0\, S(6) => \gwdc.wr_data_count_i[7]_i_3_n_0\, S(5) => \gwdc.wr_data_count_i[7]_i_4_n_0\, S(4) => \gwdc.wr_data_count_i[7]_i_5_n_0\, S(3) => \gwdc.wr_data_count_i[7]_i_6_n_0\, S(2) => \gwdc.wr_data_count_i[7]_i_7_n_0\, S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i_reg[9]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 1) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\(7 downto 1), CO(0) => \gwdc.wr_data_count_i_reg[9]_i_1_n_7\, DI(7 downto 1) => B"0000000", DI(0) => \^q\(8), O(7 downto 2) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\(7 downto 2), O(1 downto 0) => D(8 downto 7), S(7 downto 2) => B"000000", S(1) => \gwdc.wr_data_count_i[9]_i_2_n_0\, S(0) => \gwdc.wr_data_count_i[9]_i_3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_2\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); D : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gwdc.wr_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_2\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_2\ is signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[9]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[9]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[9]_i_1_n_7\ : STD_LOGIC; signal \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair253"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair253"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair252"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__1\ : label is "soft_lutpair252"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1\ : label is "soft_lutpair251"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair251"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[9]_i_1\ : label is 35; begin Q(9 downto 0) <= \^q\(9 downto 0); \count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__1_n_0\ ); \count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__1_n_0\ ); \count_value_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__1_n_0\ ); \count_value_i[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__1_n_0\ ); \count_value_i[4]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__1_n_0\ ); \count_value_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1_n_0\ ); \count_value_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1_n_0\ ); \count_value_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2_n_0\ ); \count_value_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[9]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1_n_0\ ); \count_value_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[9]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1_n_0\ ); \count_value_i[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \count_value_i[9]_i_2_n_0\, I3 => \^q\(6), I4 => \^q\(8), I5 => \^q\(9), O => \count_value_i[9]_i_1_n_0\ ); \count_value_i[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[9]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__1_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1_n_0\, Q => \^q\(8), R => wrst_busy ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[9]_i_1_n_0\, Q => \^q\(9), R => wrst_busy ); \gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gwdc.wr_data_count_i_reg[9]\(7), O => \gwdc.wr_data_count_i[7]_i_2_n_0\ ); \gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gwdc.wr_data_count_i_reg[9]\(6), O => \gwdc.wr_data_count_i[7]_i_3_n_0\ ); \gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gwdc.wr_data_count_i_reg[9]\(5), O => \gwdc.wr_data_count_i[7]_i_4_n_0\ ); \gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gwdc.wr_data_count_i_reg[9]\(4), O => \gwdc.wr_data_count_i[7]_i_5_n_0\ ); \gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gwdc.wr_data_count_i_reg[9]\(3), O => \gwdc.wr_data_count_i[7]_i_6_n_0\ ); \gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gwdc.wr_data_count_i_reg[9]\(2), O => \gwdc.wr_data_count_i[7]_i_7_n_0\ ); \gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gwdc.wr_data_count_i_reg[9]\(1), O => \gwdc.wr_data_count_i[7]_i_8_n_0\ ); \gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gwdc.wr_data_count_i_reg[9]\(0), O => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i[9]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(9), I1 => \gwdc.wr_data_count_i_reg[9]\(9), O => \gwdc.wr_data_count_i[9]_i_2_n_0\ ); \gwdc.wr_data_count_i[9]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gwdc.wr_data_count_i_reg[9]\(8), O => \gwdc.wr_data_count_i[9]_i_3_n_0\ ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '1', CI_TOP => '0', CO(7) => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CO(6) => \gwdc.wr_data_count_i_reg[7]_i_1_n_1\, CO(5) => \gwdc.wr_data_count_i_reg[7]_i_1_n_2\, CO(4) => \gwdc.wr_data_count_i_reg[7]_i_1_n_3\, CO(3) => \gwdc.wr_data_count_i_reg[7]_i_1_n_4\, CO(2) => \gwdc.wr_data_count_i_reg[7]_i_1_n_5\, CO(1) => \gwdc.wr_data_count_i_reg[7]_i_1_n_6\, CO(0) => \gwdc.wr_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gwdc.wr_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \gwdc.wr_data_count_i[7]_i_2_n_0\, S(6) => \gwdc.wr_data_count_i[7]_i_3_n_0\, S(5) => \gwdc.wr_data_count_i[7]_i_4_n_0\, S(4) => \gwdc.wr_data_count_i[7]_i_5_n_0\, S(3) => \gwdc.wr_data_count_i[7]_i_6_n_0\, S(2) => \gwdc.wr_data_count_i[7]_i_7_n_0\, S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); \gwdc.wr_data_count_i_reg[9]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 1) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\(7 downto 1), CO(0) => \gwdc.wr_data_count_i_reg[9]_i_1_n_7\, DI(7 downto 1) => B"0000000", DI(0) => \^q\(8), O(7 downto 2) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\(7 downto 2), O(1 downto 0) => D(8 downto 7), S(7 downto 2) => B"000000", S(1) => \gwdc.wr_data_count_i[9]_i_2_n_0\, S(0) => \gwdc.wr_data_count_i[9]_i_3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_9\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); ram_rd_en_i : out STD_LOGIC; \reg_out_i_reg[7]\ : out STD_LOGIC; src_in_bin : out STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[1]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); DI : in STD_LOGIC_VECTOR ( 7 downto 0 ); \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[9]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_9\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_9\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_9\ is signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__3_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[9]_i_2__0_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_8_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_10_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_11_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_12_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_13_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_14_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_15_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_16_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_17_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[9]_i_5_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[9]_i_2_n_7\ : STD_LOGIC; signal \^ram_rd_en_i\ : STD_LOGIC; signal \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_grdc.rd_data_count_i_reg[9]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_grdc.rd_data_count_i_reg[9]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair187"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__3\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__3\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__3\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\ : label is "soft_lutpair187"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[9]_i_2\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[9]_i_2\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(9 downto 0) <= \^q\(9 downto 0); ram_rd_en_i <= \^ram_rd_en_i\; \count_value_i[0]_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"AABA5545" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => \^q\(0), O => \count_value_i[0]_i_1__4_n_0\ ); \count_value_i[1]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"04FFFB00" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => \count_value_i_reg[0]_0\(1), I2 => rd_en, I3 => \^q\(0), I4 => \^q\(1), O => \count_value_i[1]_i_1__3_n_0\ ); \count_value_i[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__3_n_0\ ); \count_value_i[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(3), O => \count_value_i[3]_i_1__3_n_0\ ); \count_value_i[4]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__3_n_0\ ); \count_value_i[5]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(4), I1 => \^q\(3), I2 => \^q\(2), I3 => \count_value_i[6]_i_2__3_n_0\, I4 => \^q\(5), O => \count_value_i[5]_i_1__3_n_0\ ); \count_value_i[6]_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \count_value_i[6]_i_2__3_n_0\, I1 => \^q\(2), I2 => \^q\(3), I3 => \^q\(4), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__3_n_0\ ); \count_value_i[6]_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AA8A00000000" ) port map ( I0 => \^q\(1), I1 => \count_value_i_reg[0]_0\(0), I2 => \count_value_i_reg[0]_0\(1), I3 => rd_en, I4 => ram_empty_i, I5 => \^q\(0), O => \count_value_i[6]_i_2__3_n_0\ ); \count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"F708" ) port map ( I0 => \^q\(6), I1 => \^q\(5), I2 => \count_value_i[9]_i_2__0_n_0\, I3 => \^q\(7), O => \count_value_i[7]_i_1__3_n_0\ ); \count_value_i[8]_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFF4000" ) port map ( I0 => \count_value_i[9]_i_2__0_n_0\, I1 => \^q\(5), I2 => \^q\(6), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__3_n_0\ ); \count_value_i[9]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF7FFF00008000" ) port map ( I0 => \^q\(8), I1 => \^q\(7), I2 => \^q\(6), I3 => \^q\(5), I4 => \count_value_i[9]_i_2__0_n_0\, I5 => \^q\(9), O => \count_value_i[9]_i_1__0_n_0\ ); \count_value_i[9]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^q\(0), I1 => \^ram_rd_en_i\, I2 => \^q\(1), I3 => \^q\(2), I4 => \^q\(3), I5 => \^q\(4), O => \count_value_i[9]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[0]_i_1__4_n_0\, Q => \^q\(0), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[1]_i_1__3_n_0\, Q => \^q\(1), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[2]_i_1__3_n_0\, Q => \^q\(2), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[3]_i_1__3_n_0\, Q => \^q\(3), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[4]_i_1__3_n_0\, Q => \^q\(4), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[5]_i_1__3_n_0\, Q => \^q\(5), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[6]_i_1__3_n_0\, Q => \^q\(6), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[7]_i_1__3_n_0\, Q => \^q\(7), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[8]_i_1__3_n_0\, Q => \^q\(8), R => \count_value_i_reg[9]_0\ ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => \^ram_rd_en_i\, D => \count_value_i[9]_i_1__0_n_0\, Q => \^q\(9), R => \count_value_i_reg[9]_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(8), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(7), I3 => \^q\(9), O => src_in_bin(8) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(5), I1 => \^q\(3), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(4), I4 => \^q\(6), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFDD4D" ) port map ( I0 => \grdc.rd_data_count_i_reg[7]\(1), I1 => \^q\(1), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(0), I4 => \^q\(2), O => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \^q\(8), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_11_n_0\, I2 => \^q\(7), O => src_in_bin(7) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(7), O => src_in_bin(6) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I3 => \^q\(3), I4 => \^q\(5), O => src_in_bin(5) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I2 => \^q\(3), I3 => \^q\(5), O => src_in_bin(4) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \^q\(4), I1 => \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_12_n_0\, I2 => \^q\(3), O => src_in_bin(3) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"EFAAFFEF10550010" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(1), I4 => \grdc.rd_data_count_i_reg[7]\(1), I5 => \^q\(3), O => src_in_bin(2) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"9A55AA9A" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(1), I4 => \grdc.rd_data_count_i_reg[7]\(1), O => src_in_bin(1) ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"6696" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[7]\(0), I3 => \^q\(0), O => src_in_bin(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"AABA5545" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => \count_value_i_reg[0]_0\(1), I3 => \count_value_i_reg[0]_0\(0), I4 => \^q\(0), O => \count_value_i_reg[7]_0\(0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(7), O => \count_value_i_reg[7]_0\(7) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(6), O => \count_value_i_reg[7]_0\(6) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(5), O => \count_value_i_reg[7]_0\(5) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(4), O => \count_value_i_reg[7]_0\(4) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(3), O => \count_value_i_reg[7]_0\(3) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(2), O => \count_value_i_reg[7]_0\(2) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(1), O => \count_value_i_reg[7]_0\(1) ); \gen_pf_ic_rc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(1), I2 => \^q\(0), I3 => \gen_pf_ic_rc.ram_empty_i_reg\(0), I4 => \^q\(2), I5 => \gen_pf_ic_rc.ram_empty_i_reg\(2), O => \count_value_i_reg[1]_0\ ); \gen_pf_ic_rc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"8200008200000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\, I1 => \gen_pf_ic_rc.ram_empty_i_reg\(7), I2 => \^q\(7), I3 => \^q\(8), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(8), I5 => \gen_pf_ic_rc.ram_empty_i_i_8_n_0\, O => \reg_out_i_reg[7]\ ); \gen_pf_ic_rc.ram_empty_i_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(6), O => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(4), I2 => \^q\(3), I3 => \gen_pf_ic_rc.ram_empty_i_reg\(3), I4 => \^q\(5), I5 => \gen_pf_ic_rc.ram_empty_i_reg\(5), O => \gen_pf_ic_rc.ram_empty_i_i_8_n_0\ ); \gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \count_value_i_reg[0]_0\(0), I1 => \count_value_i_reg[0]_0\(1), I2 => rd_en, I3 => ram_empty_i, O => \^ram_rd_en_i\ ); \grdc.rd_data_count_i[7]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[9]_0\(6), I2 => \^q\(7), I3 => \grdc.rd_data_count_i_reg[9]_0\(7), O => \grdc.rd_data_count_i[7]_i_10_n_0\ ); \grdc.rd_data_count_i[7]_i_11\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[9]_0\(5), I2 => \^q\(6), I3 => \grdc.rd_data_count_i_reg[9]_0\(6), O => \grdc.rd_data_count_i[7]_i_11_n_0\ ); \grdc.rd_data_count_i[7]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[9]_0\(4), I2 => \^q\(5), I3 => \grdc.rd_data_count_i_reg[9]_0\(5), O => \grdc.rd_data_count_i[7]_i_12_n_0\ ); \grdc.rd_data_count_i[7]_i_13\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[9]_0\(3), I2 => \^q\(4), I3 => \grdc.rd_data_count_i_reg[9]_0\(4), O => \grdc.rd_data_count_i[7]_i_13_n_0\ ); \grdc.rd_data_count_i[7]_i_14\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[9]_0\(2), I2 => \^q\(3), I3 => \grdc.rd_data_count_i_reg[9]_0\(3), O => \grdc.rd_data_count_i[7]_i_14_n_0\ ); \grdc.rd_data_count_i[7]_i_15\: unisim.vcomponents.LUT5 generic map( INIT => X"2BD4D42B" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(1), I2 => \grdc.rd_data_count_i_reg[9]_0\(1), I3 => \^q\(2), I4 => \grdc.rd_data_count_i_reg[9]_0\(2), O => \grdc.rd_data_count_i[7]_i_15_n_0\ ); \grdc.rd_data_count_i[7]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"D22D2DD2" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]_0\(1), I3 => \grdc.rd_data_count_i_reg[7]\(1), I4 => \^q\(1), O => \grdc.rd_data_count_i[7]_i_16_n_0\ ); \grdc.rd_data_count_i[7]_i_17\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^q\(0), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]_0\(0), O => \grdc.rd_data_count_i[7]_i_17_n_0\ ); \grdc.rd_data_count_i[9]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"B44B" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[9]_0\(7), I2 => \^q\(8), I3 => \grdc.rd_data_count_i_reg[9]_0\(8), O => \grdc.rd_data_count_i[9]_i_5_n_0\ ); \grdc.rd_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CO(6) => \grdc.rd_data_count_i_reg[7]_i_1_n_1\, CO(5) => \grdc.rd_data_count_i_reg[7]_i_1_n_2\, CO(4) => \grdc.rd_data_count_i_reg[7]_i_1_n_3\, CO(3) => \grdc.rd_data_count_i_reg[7]_i_1_n_4\, CO(2) => \grdc.rd_data_count_i_reg[7]_i_1_n_5\, CO(1) => \grdc.rd_data_count_i_reg[7]_i_1_n_6\, CO(0) => \grdc.rd_data_count_i_reg[7]_i_1_n_7\, DI(7 downto 0) => DI(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7) => \grdc.rd_data_count_i[7]_i_10_n_0\, S(6) => \grdc.rd_data_count_i[7]_i_11_n_0\, S(5) => \grdc.rd_data_count_i[7]_i_12_n_0\, S(4) => \grdc.rd_data_count_i[7]_i_13_n_0\, S(3) => \grdc.rd_data_count_i[7]_i_14_n_0\, S(2) => \grdc.rd_data_count_i[7]_i_15_n_0\, S(1) => \grdc.rd_data_count_i[7]_i_16_n_0\, S(0) => \grdc.rd_data_count_i[7]_i_17_n_0\ ); \grdc.rd_data_count_i_reg[9]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 1) => \NLW_grdc.rd_data_count_i_reg[9]_i_2_CO_UNCONNECTED\(7 downto 1), CO(0) => \grdc.rd_data_count_i_reg[9]_i_2_n_7\, DI(7 downto 1) => B"0000000", DI(0) => \grdc.rd_data_count_i_reg[9]\(0), O(7 downto 2) => \NLW_grdc.rd_data_count_i_reg[9]_i_2_O_UNCONNECTED\(7 downto 2), O(1 downto 0) => D(8 downto 7), S(7 downto 2) => B"000000", S(1) => S(0), S(0) => \grdc.rd_data_count_i[9]_i_5_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4\ is port ( ram_empty_i0 : out STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg_0\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg_1\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4\ is signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair249"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__2\ : label is "soft_lutpair249"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair248"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__2\ : label is "soft_lutpair248"; begin \count_value_i[0]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"04FB" ) port map ( I0 => rd_en, I1 => Q(1), I2 => Q(0), I3 => \count_value_i_reg_n_0_[0]\, O => \count_value_i[0]_i_1__3_n_0\ ); \count_value_i[1]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"04FFFB00" ) port map ( I0 => Q(0), I1 => Q(1), I2 => rd_en, I3 => \count_value_i_reg_n_0_[0]\, I4 => \count_value_i_reg_n_0_[1]\, O => \count_value_i[1]_i_1__2_n_0\ ); \count_value_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[2]\, O => \count_value_i[2]_i_1__2_n_0\ ); \count_value_i[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \count_value_i_reg_n_0_[2]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[0]\, I3 => \count_value_i_reg_n_0_[3]\, O => \count_value_i[3]_i_1__2_n_0\ ); \count_value_i[4]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[2]\, I3 => \count_value_i_reg_n_0_[3]\, I4 => \count_value_i_reg_n_0_[4]\, O => \count_value_i[4]_i_1__2_n_0\ ); \count_value_i[5]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \count_value_i_reg_n_0_[4]\, I1 => \count_value_i_reg_n_0_[3]\, I2 => \count_value_i_reg_n_0_[2]\, I3 => \count_value_i[6]_i_2__2_n_0\, I4 => \count_value_i_reg_n_0_[5]\, O => \count_value_i[5]_i_1__2_n_0\ ); \count_value_i[6]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \count_value_i[6]_i_2__2_n_0\, I1 => \count_value_i_reg_n_0_[2]\, I2 => \count_value_i_reg_n_0_[3]\, I3 => \count_value_i_reg_n_0_[4]\, I4 => \count_value_i_reg_n_0_[5]\, I5 => \count_value_i_reg_n_0_[6]\, O => \count_value_i[6]_i_1__2_n_0\ ); \count_value_i[6]_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AA8A00000000" ) port map ( I0 => \count_value_i_reg_n_0_[1]\, I1 => Q(0), I2 => Q(1), I3 => rd_en, I4 => ram_empty_i, I5 => \count_value_i_reg_n_0_[0]\, O => \count_value_i[6]_i_2__2_n_0\ ); \count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F708" ) port map ( I0 => \count_value_i_reg_n_0_[6]\, I1 => \count_value_i_reg_n_0_[5]\, I2 => \count_value_i[8]_i_2__1_n_0\, I3 => \count_value_i_reg_n_0_[7]\, O => \count_value_i[7]_i_1__2_n_0\ ); \count_value_i[8]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"DFFF2000" ) port map ( I0 => \count_value_i_reg_n_0_[7]\, I1 => \count_value_i[8]_i_2__1_n_0\, I2 => \count_value_i_reg_n_0_[5]\, I3 => \count_value_i_reg_n_0_[6]\, I4 => \count_value_i_reg_n_0_[8]\, O => \count_value_i[8]_i_1__2_n_0\ ); \count_value_i[8]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => E(0), I2 => \count_value_i_reg_n_0_[1]\, I3 => \count_value_i_reg_n_0_[2]\, I4 => \count_value_i_reg_n_0_[3]\, I5 => \count_value_i_reg_n_0_[4]\, O => \count_value_i[8]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[0]_i_1__3_n_0\, Q => \count_value_i_reg_n_0_[0]\, S => \count_value_i_reg[0]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[1]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[1]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[2]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[2]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[3]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[3]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[4]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[4]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[5]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[5]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[6]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[6]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[7]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[7]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[8]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[8]\, R => \count_value_i_reg[0]_0\ ); \gen_pf_ic_rc.ram_empty_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF800080008000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_i_2_n_0\, I1 => E(0), I2 => \gen_pf_ic_rc.ram_empty_i_i_3_n_0\, I3 => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\, I4 => \gen_pf_ic_rc.ram_empty_i_reg\, I5 => \gen_pf_ic_rc.ram_empty_i_reg_0\, O => ram_empty_i0 ); \gen_pf_ic_rc.ram_empty_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[7]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(7), I2 => \count_value_i_reg_n_0_[6]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(6), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(8), I5 => \count_value_i_reg_n_0_[8]\, O => \gen_pf_ic_rc.ram_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[1]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(1), I2 => \count_value_i_reg_n_0_[0]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(0), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(2), I5 => \count_value_i_reg_n_0_[2]\, O => \gen_pf_ic_rc.ram_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[4]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(4), I2 => \count_value_i_reg_n_0_[3]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(3), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(5), I5 => \count_value_i_reg_n_0_[5]\, O => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_10\ is port ( ram_empty_i0 : out STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg_0\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg_1\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC; rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_10\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_10\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_10\ is signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__2_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair189"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__2\ : label is "soft_lutpair189"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair188"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__2\ : label is "soft_lutpair188"; begin \count_value_i[0]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"04FB" ) port map ( I0 => rd_en, I1 => Q(1), I2 => Q(0), I3 => \count_value_i_reg_n_0_[0]\, O => \count_value_i[0]_i_1__3_n_0\ ); \count_value_i[1]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"04FFFB00" ) port map ( I0 => Q(0), I1 => Q(1), I2 => rd_en, I3 => \count_value_i_reg_n_0_[0]\, I4 => \count_value_i_reg_n_0_[1]\, O => \count_value_i[1]_i_1__2_n_0\ ); \count_value_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[2]\, O => \count_value_i[2]_i_1__2_n_0\ ); \count_value_i[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \count_value_i_reg_n_0_[2]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[0]\, I3 => \count_value_i_reg_n_0_[3]\, O => \count_value_i[3]_i_1__2_n_0\ ); \count_value_i[4]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => \count_value_i_reg_n_0_[1]\, I2 => \count_value_i_reg_n_0_[2]\, I3 => \count_value_i_reg_n_0_[3]\, I4 => \count_value_i_reg_n_0_[4]\, O => \count_value_i[4]_i_1__2_n_0\ ); \count_value_i[5]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \count_value_i_reg_n_0_[4]\, I1 => \count_value_i_reg_n_0_[3]\, I2 => \count_value_i_reg_n_0_[2]\, I3 => \count_value_i[6]_i_2__2_n_0\, I4 => \count_value_i_reg_n_0_[5]\, O => \count_value_i[5]_i_1__2_n_0\ ); \count_value_i[6]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \count_value_i[6]_i_2__2_n_0\, I1 => \count_value_i_reg_n_0_[2]\, I2 => \count_value_i_reg_n_0_[3]\, I3 => \count_value_i_reg_n_0_[4]\, I4 => \count_value_i_reg_n_0_[5]\, I5 => \count_value_i_reg_n_0_[6]\, O => \count_value_i[6]_i_1__2_n_0\ ); \count_value_i[6]_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AA8A00000000" ) port map ( I0 => \count_value_i_reg_n_0_[1]\, I1 => Q(0), I2 => Q(1), I3 => rd_en, I4 => ram_empty_i, I5 => \count_value_i_reg_n_0_[0]\, O => \count_value_i[6]_i_2__2_n_0\ ); \count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F708" ) port map ( I0 => \count_value_i_reg_n_0_[6]\, I1 => \count_value_i_reg_n_0_[5]\, I2 => \count_value_i[8]_i_2__1_n_0\, I3 => \count_value_i_reg_n_0_[7]\, O => \count_value_i[7]_i_1__2_n_0\ ); \count_value_i[8]_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"DFFF2000" ) port map ( I0 => \count_value_i_reg_n_0_[7]\, I1 => \count_value_i[8]_i_2__1_n_0\, I2 => \count_value_i_reg_n_0_[5]\, I3 => \count_value_i_reg_n_0_[6]\, I4 => \count_value_i_reg_n_0_[8]\, O => \count_value_i[8]_i_1__2_n_0\ ); \count_value_i[8]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \count_value_i_reg_n_0_[0]\, I1 => E(0), I2 => \count_value_i_reg_n_0_[1]\, I3 => \count_value_i_reg_n_0_[2]\, I4 => \count_value_i_reg_n_0_[3]\, I5 => \count_value_i_reg_n_0_[4]\, O => \count_value_i[8]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[0]_i_1__3_n_0\, Q => \count_value_i_reg_n_0_[0]\, S => \count_value_i_reg[0]_0\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[1]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[1]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[2]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[2]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[3]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[3]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[4]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[4]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[5]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[5]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[6]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[6]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[7]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[7]\, R => \count_value_i_reg[0]_0\ ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), D => \count_value_i[8]_i_1__2_n_0\, Q => \count_value_i_reg_n_0_[8]\, R => \count_value_i_reg[0]_0\ ); \gen_pf_ic_rc.ram_empty_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF800080008000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_i_2_n_0\, I1 => E(0), I2 => \gen_pf_ic_rc.ram_empty_i_i_3_n_0\, I3 => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\, I4 => \gen_pf_ic_rc.ram_empty_i_reg\, I5 => \gen_pf_ic_rc.ram_empty_i_reg_0\, O => ram_empty_i0 ); \gen_pf_ic_rc.ram_empty_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[7]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(7), I2 => \count_value_i_reg_n_0_[6]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(6), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(8), I5 => \count_value_i_reg_n_0_[8]\, O => \gen_pf_ic_rc.ram_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[1]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(1), I2 => \count_value_i_reg_n_0_[0]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(0), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(2), I5 => \count_value_i_reg_n_0_[2]\, O => \gen_pf_ic_rc.ram_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \count_value_i_reg_n_0_[4]\, I1 => \gen_pf_ic_rc.ram_empty_i_reg_1\(4), I2 => \count_value_i_reg_n_0_[3]\, I3 => \gen_pf_ic_rc.ram_empty_i_reg_1\(3), I4 => \gen_pf_ic_rc.ram_empty_i_reg_1\(5), I5 => \count_value_i_reg_n_0_[5]\, O => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_13\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_13\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_13\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_13\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__0\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__0\ : label is "soft_lutpair194"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair194"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1\ : label is 35; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__0_n_0\ ); \count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__0_n_0\ ); \count_value_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__0_n_0\ ); \count_value_i[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__0_n_0\ ); \count_value_i[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__0_n_0\ ); \count_value_i[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__0_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__0_n_0\ ); \count_value_i[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__0_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__0_n_0\ ); \count_value_i[6]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__0_n_0\ ); \count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__0_n_0\ ); \count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__0_n_0\ ); \count_value_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__0_n_0\, Q => \^q\(0), S => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__0_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__0_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__0_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__0_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__0_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__0_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__0_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1__0_n_0\, Q => \^q\(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(7), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(6), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(5), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(4), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(3), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(2), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(1), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(0), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(8), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => wr_pntr_plus1_pf_carry, CI_TOP => '0', CO(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\, CO(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\(0), S(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\, S(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\, S(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\, S(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\, S(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\, S(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\, S(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\, S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_3\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_3\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_3\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_3\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__0_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair256"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair256"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair255"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1__0\ : label is "soft_lutpair255"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__0\ : label is "soft_lutpair254"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair254"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1\ : label is 35; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1__0_n_0\ ); \count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1__0_n_0\ ); \count_value_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1__0_n_0\ ); \count_value_i[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1__0_n_0\ ); \count_value_i[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1__0_n_0\ ); \count_value_i[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__0_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__0_n_0\ ); \count_value_i[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__0_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__0_n_0\ ); \count_value_i[6]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__0_n_0\ ); \count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__0_n_0\ ); \count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__0_n_0\ ); \count_value_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1__0_n_0\, Q => \^q\(0), S => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1__0_n_0\, Q => \^q\(1), R => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1__0_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1__0_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1__0_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__0_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__0_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__0_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1__0_n_0\, Q => \^q\(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(7), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(6), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(5), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(4), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(3), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(2), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(1), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(0), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(8), O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => wr_pntr_plus1_pf_carry, CI_TOP => '0', CO(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\, CO(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_7\, DI(7 downto 0) => \^q\(7 downto 0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_O_UNCONNECTED\(0), S(7) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_2_n_0\, S(6) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_3_n_0\, S(5) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_4_n_0\, S(4) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_5_n_0\, S(3) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_6_n_0\, S(2) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_7_n_0\, S(1) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_8_n_0\, S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[8]_i_9_n_0\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q[9]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair259"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair259"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair258"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1\ : label is "soft_lutpair258"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair257"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__1\ : label is "soft_lutpair257"; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1_n_0\ ); \count_value_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1_n_0\ ); \count_value_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1_n_0\ ); \count_value_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1_n_0\ ); \count_value_i[5]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__1_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__1_n_0\ ); \count_value_i[6]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__1_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__1_n_0\ ); \count_value_i[6]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__1_n_0\ ); \count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__1_n_0\ ); \count_value_i[8]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__1_n_0\ ); \count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1_n_0\, Q => \^q\(1), S => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1__1_n_0\, Q => \^q\(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5_14\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[5]_0\ : in STD_LOGIC; wrst_busy : in STD_LOGIC; rst_d1 : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5_14\ : entity is "xpm_counter_updn"; end \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5_14\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_counter_updn__parameterized5_14\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[6]_i_2__1_n_0\ : STD_LOGIC; signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair199"; attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair199"; attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair198"; attribute SOFT_HLUTNM of \count_value_i[4]_i_1\ : label is "soft_lutpair198"; attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair197"; attribute SOFT_HLUTNM of \count_value_i[8]_i_1__1\ : label is "soft_lutpair197"; begin Q(8 downto 0) <= \^q\(8 downto 0); \count_value_i[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \count_value_i[1]_i_1_n_0\ ); \count_value_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => \count_value_i[2]_i_1_n_0\ ); \count_value_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => \count_value_i[3]_i_1_n_0\ ); \count_value_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => \count_value_i[4]_i_1_n_0\ ); \count_value_i[5]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \count_value_i[6]_i_2__1_n_0\, I2 => \^q\(2), I3 => \^q\(4), I4 => \^q\(5), O => \count_value_i[5]_i_1__1_n_0\ ); \count_value_i[6]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \count_value_i[6]_i_2__1_n_0\, I3 => \^q\(3), I4 => \^q\(5), I5 => \^q\(6), O => \count_value_i[6]_i_1__1_n_0\ ); \count_value_i[6]_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => \^q\(1), I1 => wr_en, I2 => \count_value_i_reg[5]_0\, I3 => wrst_busy, I4 => rst_d1, I5 => \^q\(0), O => \count_value_i[6]_i_2__1_n_0\ ); \count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(5), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(6), I3 => \^q\(7), O => \count_value_i[7]_i_1__1_n_0\ ); \count_value_i[8]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(6), I1 => \count_value_i[8]_i_2__0_n_0\, I2 => \^q\(5), I3 => \^q\(7), I4 => \^q\(8), O => \count_value_i[8]_i_1__1_n_0\ ); \count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => wr_pntr_plus1_pf_carry, I4 => \^q\(1), I5 => \^q\(3), O => \count_value_i[8]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[0]_i_1_n_0\, Q => \^q\(0), R => wrst_busy ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[1]_i_1_n_0\, Q => \^q\(1), S => wrst_busy ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[2]_i_1_n_0\, Q => \^q\(2), R => wrst_busy ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[3]_i_1_n_0\, Q => \^q\(3), R => wrst_busy ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[4]_i_1_n_0\, Q => \^q\(4), R => wrst_busy ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[5]_i_1__1_n_0\, Q => \^q\(5), R => wrst_busy ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[6]_i_1__1_n_0\, Q => \^q\(6), R => wrst_busy ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[7]_i_1__1_n_0\, Q => \^q\(7), R => wrst_busy ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => wr_pntr_plus1_pf_carry, D => \count_value_i[8]_i_1__1_n_0\, Q => \^q\(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_bit is port ( rst_d1 : out STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : out STD_LOGIC; clr_full : out STD_LOGIC; overflow_i0 : out STD_LOGIC; wrst_busy : in STD_LOGIC; wr_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ : in STD_LOGIC; prog_full : in STD_LOGIC; wr_en : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_bit : entity is "xpm_fifo_reg_bit"; end axi_chip2chip_64B66B_xpm_fifo_reg_bit; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_bit is signal \^clr_full\ : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\ : label is "soft_lutpair250"; attribute SOFT_HLUTNM of \gof.overflow_i_i_1\ : label is "soft_lutpair250"; begin clr_full <= \^clr_full\; rst_d1 <= \^rst_d1\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wrst_busy, Q => \^rst_d1\, R => '0' ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => rst, I1 => \^rst_d1\, I2 => wrst_busy, O => \^clr_full\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00FF00E0000000E0" ) port map ( I0 => Q(0), I1 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\, I2 => Q(1), I3 => \^clr_full\, I4 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I5 => prog_full, O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ ); \gof.overflow_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \^rst_d1\, I1 => wrst_busy, I2 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I3 => wr_en, O => overflow_i0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_bit_11 is port ( rst_d1 : out STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : out STD_LOGIC; clr_full : out STD_LOGIC; overflow_i0 : out STD_LOGIC; wrst_busy : in STD_LOGIC; wr_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ : in STD_LOGIC; prog_full : in STD_LOGIC; wr_en : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_bit_11 : entity is "xpm_fifo_reg_bit"; end axi_chip2chip_64B66B_xpm_fifo_reg_bit_11; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_bit_11 is signal \^clr_full\ : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\ : label is "soft_lutpair190"; attribute SOFT_HLUTNM of \gof.overflow_i_i_1\ : label is "soft_lutpair190"; begin clr_full <= \^clr_full\; rst_d1 <= \^rst_d1\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wrst_busy, Q => \^rst_d1\, R => '0' ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => rst, I1 => \^rst_d1\, I2 => wrst_busy, O => \^clr_full\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00FF00E0000000E0" ) port map ( I0 => Q(0), I1 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\, I2 => Q(1), I3 => \^clr_full\, I4 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I5 => prog_full, O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ ); \gof.overflow_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \^rst_d1\, I1 => wrst_busy, I2 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I3 => wr_en, O => overflow_i0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_bit_20 is port ( rst_d1 : out STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : out STD_LOGIC; overflow_i0 : out STD_LOGIC; wrst_busy : in STD_LOGIC; wr_clk : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ : in STD_LOGIC; prog_full : in STD_LOGIC; wr_en : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_bit_20 : entity is "xpm_fifo_reg_bit"; end axi_chip2chip_64B66B_xpm_fifo_reg_bit_20; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_bit_20 is signal clr_full : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\ : label is "soft_lutpair148"; attribute SOFT_HLUTNM of \gof.overflow_i_i_1\ : label is "soft_lutpair148"; begin rst_d1 <= \^rst_d1\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wrst_busy, Q => \^rst_d1\, R => '0' ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0F0E000E" ) port map ( I0 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\, I1 => Q(0), I2 => clr_full, I3 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I4 => prog_full, O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => rst, I1 => \^rst_d1\, I2 => wrst_busy, O => clr_full ); \gof.overflow_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \^rst_d1\, I1 => wrst_busy, I2 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I3 => wr_en, O => overflow_i0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_bit_30 is port ( rst_d1 : out STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : out STD_LOGIC; overflow_i0 : out STD_LOGIC; wrst_busy : in STD_LOGIC; wr_clk : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ : in STD_LOGIC; prog_full : in STD_LOGIC; wr_en : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_bit_30 : entity is "xpm_fifo_reg_bit"; end axi_chip2chip_64B66B_xpm_fifo_reg_bit_30; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_bit_30 is signal clr_full : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \gof.overflow_i_i_1\ : label is "soft_lutpair115"; begin rst_d1 <= \^rst_d1\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wrst_busy, Q => \^rst_d1\, R => '0' ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0F0E000E" ) port map ( I0 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\, I1 => Q(0), I2 => clr_full, I3 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I4 => prog_full, O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => rst, I1 => \^rst_d1\, I2 => wrst_busy, O => clr_full ); \gof.overflow_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \^rst_d1\, I1 => wrst_busy, I2 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I3 => wr_en, O => overflow_i0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_bit_41 is port ( rst_d1 : out STD_LOGIC; \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ : out STD_LOGIC; overflow_i0 : out STD_LOGIC; wrst_busy : in STD_LOGIC; wr_clk : in STD_LOGIC; \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ : in STD_LOGIC; prog_full : in STD_LOGIC; wr_en : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_bit_41 : entity is "xpm_fifo_reg_bit"; end axi_chip2chip_64B66B_xpm_fifo_reg_bit_41; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_bit_41 is signal clr_full : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \gof.overflow_i_i_1\ : label is "soft_lutpair81"; begin rst_d1 <= \^rst_d1\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wrst_busy, Q => \^rst_d1\, R => '0' ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0F0E000E" ) port map ( I0 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\, I1 => Q(0), I2 => clr_full, I3 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I4 => prog_full, O => \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => rst, I1 => \^rst_d1\, I2 => wrst_busy, O => clr_full ); \gof.overflow_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \^rst_d1\, I1 => wrst_busy, I2 => \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\, I3 => wr_en, O => overflow_i0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec is port ( \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); d_out_reg : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; rst_d1 : in STD_LOGIC; rst : in STD_LOGIC; wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec is signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ : STD_LOGIC; signal going_full0 : STD_LOGIC; signal leaving_full : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EAEA00EA" ) port map ( I0 => leaving_full, I1 => going_full0, I2 => wr_pntr_plus1_pf_carry, I3 => rst_d1, I4 => rst, O => d_out_reg ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => Q(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => Q(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\, O => leaving_full ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\, O => going_full0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => Q(3), I2 => Q(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => Q(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => Q(0), I2 => Q(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => Q(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec_17 is port ( ram_empty_i0 : out STD_LOGIC; \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.ram_empty_i_reg_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \reg_out_i_reg[0]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec_17 : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec_17; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec_17 is signal \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ : STD_LOGIC; signal going_empty0 : STD_LOGIC; signal leaving_empty : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.ram_empty_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00FD0000" ) port map ( I0 => Q(1), I1 => Q(0), I2 => rd_en, I3 => ram_empty_i, I4 => going_empty0, I5 => leaving_empty, O => ram_empty_i0 ); \gen_pf_ic_rc.ram_empty_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg_0\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\, O => going_empty0 ); \gen_pf_ic_rc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\, O => leaving_empty ); \gen_pf_ic_rc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => \reg_out_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec_23 is port ( \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); d_out_reg : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; rst_d1 : in STD_LOGIC; rst : in STD_LOGIC; wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec_23 : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec_23; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec_23 is signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ : STD_LOGIC; signal going_full0 : STD_LOGIC; signal leaving_full : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EAEA00EA" ) port map ( I0 => leaving_full, I1 => going_full0, I2 => wr_pntr_plus1_pf_carry, I3 => rst_d1, I4 => rst, O => d_out_reg ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => Q(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => Q(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\, O => leaving_full ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\, O => going_full0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => Q(3), I2 => Q(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => Q(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => Q(0), I2 => Q(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => Q(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec_25 is port ( ram_empty_i0 : out STD_LOGIC; \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.ram_empty_i_reg_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \reg_out_i_reg[0]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec_25 : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec_25; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec_25 is signal \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ : STD_LOGIC; signal going_empty0 : STD_LOGIC; signal leaving_empty : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.ram_empty_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00FD0000" ) port map ( I0 => Q(1), I1 => Q(0), I2 => rd_en, I3 => ram_empty_i, I4 => going_empty0, I5 => leaving_empty, O => ram_empty_i0 ); \gen_pf_ic_rc.ram_empty_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg_0\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\, O => going_empty0 ); \gen_pf_ic_rc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\, O => leaving_empty ); \gen_pf_ic_rc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => \reg_out_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec_34 is port ( \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); d_out_reg : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_pntr_plus1_pf_carry : in STD_LOGIC; rst_d1 : in STD_LOGIC; rst : in STD_LOGIC; wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec_34 : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec_34; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec_34 is signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ : STD_LOGIC; signal going_full0 : STD_LOGIC; signal leaving_full : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EAEA00EA" ) port map ( I0 => leaving_full, I1 => going_full0, I2 => wr_pntr_plus1_pf_carry, I3 => rst_d1, I4 => rst, O => d_out_reg ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => Q(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => Q(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\, O => leaving_full ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\, I5 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\, O => going_full0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => Q(3), I2 => Q(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => Q(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => Q(0), I2 => Q(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => Q(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_6_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_reg_vec_36 is port ( ram_empty_i0 : out STD_LOGIC; \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_pf_ic_rc.ram_empty_i_reg_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \reg_out_i_reg[0]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_reg_vec_36 : entity is "xpm_fifo_reg_vec"; end axi_chip2chip_64B66B_xpm_fifo_reg_vec_36; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_reg_vec_36 is signal \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ : STD_LOGIC; signal going_empty0 : STD_LOGIC; signal leaving_empty : STD_LOGIC; signal \^reg_out_i_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); begin \reg_out_i_reg[7]_0\(7 downto 0) <= \^reg_out_i_reg[7]_0\(7 downto 0); \gen_pf_ic_rc.ram_empty_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00FD0000" ) port map ( I0 => Q(1), I1 => Q(0), I2 => rd_en, I3 => ram_empty_i, I4 => going_empty0, I5 => leaving_empty, O => ram_empty_i0 ); \gen_pf_ic_rc.ram_empty_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg_0\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\, O => going_empty0 ); \gen_pf_ic_rc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => \gen_pf_ic_rc.ram_empty_i_reg\(7), I1 => \^reg_out_i_reg[7]_0\(7), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(6), I3 => \^reg_out_i_reg[7]_0\(6), I4 => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\, I5 => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\, O => leaving_empty ); \gen_pf_ic_rc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_4_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg_0\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg_0\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg_0\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_5_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(3), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(3), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(5), I3 => \^reg_out_i_reg[7]_0\(5), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(4), I5 => \^reg_out_i_reg[7]_0\(4), O => \gen_pf_ic_rc.ram_empty_i_i_6_n_0\ ); \gen_pf_ic_rc.ram_empty_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^reg_out_i_reg[7]_0\(0), I1 => \gen_pf_ic_rc.ram_empty_i_reg\(0), I2 => \gen_pf_ic_rc.ram_empty_i_reg\(2), I3 => \^reg_out_i_reg[7]_0\(2), I4 => \gen_pf_ic_rc.ram_empty_i_reg\(1), I5 => \^reg_out_i_reg[7]_0\(1), O => \gen_pf_ic_rc.ram_empty_i_i_7_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(0), Q => \^reg_out_i_reg[7]_0\(0), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(1), Q => \^reg_out_i_reg[7]_0\(1), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(2), Q => \^reg_out_i_reg[7]_0\(2), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(3), Q => \^reg_out_i_reg[7]_0\(3), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(4), Q => \^reg_out_i_reg[7]_0\(4), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(5), Q => \^reg_out_i_reg[7]_0\(5), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(6), Q => \^reg_out_i_reg[7]_0\(6), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(7), Q => \^reg_out_i_reg[7]_0\(7), R => \reg_out_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[0]_0\ : out STD_LOGIC; wr_pntr_plus1_pf_carry : in STD_LOGIC; \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); clr_full : in STD_LOGIC; wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\ : STD_LOGIC; signal going_full : STD_LOGIC; begin Q(8 downto 0) <= \^q\(8 downto 0); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF80" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\, I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\, I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\, I3 => going_full, I4 => clr_full, O => \reg_out_i_reg[0]_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2), I3 => \^q\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1), I5 => \^q\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(8), I3 => \^q\(8), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7), I5 => \^q\(7), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5), I3 => \^q\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4), I5 => \^q\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\, I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\, I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\, I3 => wr_pntr_plus1_pf_carry, O => going_full ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(5), I3 => \^q\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(4), I5 => \^q\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(6), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(8), I3 => \^q\(8), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(7), I5 => \^q\(7), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(2), I3 => \^q\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(1), I5 => \^q\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => \^q\(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => \^q\(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => \^q\(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => \^q\(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => \^q\(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => \^q\(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => \^q\(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => \^q\(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => \^q\(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_0\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 8 downto 0 ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 7 downto 0 ); \reg_out_i_reg[0]_0\ : in STD_LOGIC; \reg_out_i_reg[8]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_0\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_0\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(8 downto 0) <= \^q\(8 downto 0); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \^q\(0), CI_TOP => '0', CO(7) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\, CO(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\, DI(7 downto 1) => \^q\(7 downto 1), DI(0) => DI(0), O(7 downto 0) => D(7 downto 0), S(7 downto 0) => S(7 downto 0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(8), S(7 downto 1) => B"0000000", S(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(0), Q => \^q\(0), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(1), Q => \^q\(1), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(2), Q => \^q\(2), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(3), Q => \^q\(3), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(4), Q => \^q\(4), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(5), Q => \^q\(5), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(6), Q => \^q\(6), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(7), Q => \^q\(7), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(8), Q => \^q\(8), R => \reg_out_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_16\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_16\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_16\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_16\ is begin \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => Q(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => Q(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => Q(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => Q(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => Q(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => Q(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => Q(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => Q(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => Q(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_18\ is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); S : in STD_LOGIC_VECTOR ( 6 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \reg_out_i_reg[8]_0\ : in STD_LOGIC; \reg_out_i_reg[8]_1\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_18\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_18\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_18\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \grdc.rd_data_count_i[7]_i_14_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[8]_i_2\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[8]_i_2\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(8 downto 0) <= \^q\(8 downto 0); \grdc.rd_data_count_i[7]_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"718E8E71" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), I4 => \^q\(2), O => \grdc.rd_data_count_i[7]_i_14_n_0\ ); \grdc.rd_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[7]_0\(5), O => \grdc.rd_data_count_i[7]_i_2_n_0\ ); \grdc.rd_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[7]_0\(4), O => \grdc.rd_data_count_i[7]_i_3_n_0\ ); \grdc.rd_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[7]_0\(3), O => \grdc.rd_data_count_i[7]_i_4_n_0\ ); \grdc.rd_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[7]_0\(2), O => \grdc.rd_data_count_i[7]_i_5_n_0\ ); \grdc.rd_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]_0\(1), O => \grdc.rd_data_count_i[7]_i_6_n_0\ ); \grdc.rd_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CO(6) => \grdc.rd_data_count_i_reg[7]_i_1_n_1\, CO(5) => \grdc.rd_data_count_i_reg[7]_i_1_n_2\, CO(4) => \grdc.rd_data_count_i_reg[7]_i_1_n_3\, CO(3) => \grdc.rd_data_count_i_reg[7]_i_1_n_4\, CO(2) => \grdc.rd_data_count_i_reg[7]_i_1_n_5\, CO(1) => \grdc.rd_data_count_i_reg[7]_i_1_n_6\, CO(0) => \grdc.rd_data_count_i_reg[7]_i_1_n_7\, DI(7) => \grdc.rd_data_count_i[7]_i_2_n_0\, DI(6) => \grdc.rd_data_count_i[7]_i_3_n_0\, DI(5) => \grdc.rd_data_count_i[7]_i_4_n_0\, DI(4) => \grdc.rd_data_count_i[7]_i_5_n_0\, DI(3) => \grdc.rd_data_count_i[7]_i_6_n_0\, DI(2 downto 1) => DI(1 downto 0), DI(0) => \^q\(0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7 downto 3) => S(6 downto 2), S(2) => \grdc.rd_data_count_i[7]_i_14_n_0\, S(1 downto 0) => S(1 downto 0) ); \grdc.rd_data_count_i_reg[8]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \grdc.rd_data_count_i_reg[8]\(0) ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(0), Q => \^q\(0), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(1), Q => \^q\(1), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(2), Q => \^q\(2), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(3), Q => \^q\(3), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(4), Q => \^q\(4), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(5), Q => \^q\(5), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(6), Q => \^q\(6), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(7), Q => \^q\(7), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(8), Q => \^q\(8), R => \reg_out_i_reg[8]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_24\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_24\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_24\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_24\ is begin \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => Q(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => Q(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => Q(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => Q(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => Q(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => Q(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => Q(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => Q(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => Q(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_26\ is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); S : in STD_LOGIC_VECTOR ( 6 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \reg_out_i_reg[8]_0\ : in STD_LOGIC; \reg_out_i_reg[8]_1\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_26\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_26\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_26\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \grdc.rd_data_count_i[7]_i_14_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[8]_i_2\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[8]_i_2\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(8 downto 0) <= \^q\(8 downto 0); \grdc.rd_data_count_i[7]_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"718E8E71" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), I4 => \^q\(2), O => \grdc.rd_data_count_i[7]_i_14_n_0\ ); \grdc.rd_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[7]_0\(5), O => \grdc.rd_data_count_i[7]_i_2_n_0\ ); \grdc.rd_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[7]_0\(4), O => \grdc.rd_data_count_i[7]_i_3_n_0\ ); \grdc.rd_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[7]_0\(3), O => \grdc.rd_data_count_i[7]_i_4_n_0\ ); \grdc.rd_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[7]_0\(2), O => \grdc.rd_data_count_i[7]_i_5_n_0\ ); \grdc.rd_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]_0\(1), O => \grdc.rd_data_count_i[7]_i_6_n_0\ ); \grdc.rd_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CO(6) => \grdc.rd_data_count_i_reg[7]_i_1_n_1\, CO(5) => \grdc.rd_data_count_i_reg[7]_i_1_n_2\, CO(4) => \grdc.rd_data_count_i_reg[7]_i_1_n_3\, CO(3) => \grdc.rd_data_count_i_reg[7]_i_1_n_4\, CO(2) => \grdc.rd_data_count_i_reg[7]_i_1_n_5\, CO(1) => \grdc.rd_data_count_i_reg[7]_i_1_n_6\, CO(0) => \grdc.rd_data_count_i_reg[7]_i_1_n_7\, DI(7) => \grdc.rd_data_count_i[7]_i_2_n_0\, DI(6) => \grdc.rd_data_count_i[7]_i_3_n_0\, DI(5) => \grdc.rd_data_count_i[7]_i_4_n_0\, DI(4) => \grdc.rd_data_count_i[7]_i_5_n_0\, DI(3) => \grdc.rd_data_count_i[7]_i_6_n_0\, DI(2 downto 1) => DI(1 downto 0), DI(0) => \^q\(0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7 downto 3) => S(6 downto 2), S(2) => \grdc.rd_data_count_i[7]_i_14_n_0\, S(1 downto 0) => S(1 downto 0) ); \grdc.rd_data_count_i_reg[8]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \grdc.rd_data_count_i_reg[8]\(0) ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(0), Q => \^q\(0), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(1), Q => \^q\(1), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(2), Q => \^q\(2), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(3), Q => \^q\(3), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(4), Q => \^q\(4), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(5), Q => \^q\(5), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(6), Q => \^q\(6), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(7), Q => \^q\(7), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(8), Q => \^q\(8), R => \reg_out_i_reg[8]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_35\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_35\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_35\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_35\ is begin \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => Q(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => Q(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => Q(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => Q(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => Q(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => Q(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => Q(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => Q(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => Q(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_37\ is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); S : in STD_LOGIC_VECTOR ( 6 downto 0 ); \grdc.rd_data_count_i_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \reg_out_i_reg[8]_0\ : in STD_LOGIC; \reg_out_i_reg[8]_1\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_37\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_37\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_37\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \grdc.rd_data_count_i[7]_i_14_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_4_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_5_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i[7]_i_6_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_0\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_4\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_5\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_6\ : STD_LOGIC; signal \grdc.rd_data_count_i_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \grdc.rd_data_count_i_reg[8]_i_2\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \grdc.rd_data_count_i_reg[8]_i_2\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(8 downto 0) <= \^q\(8 downto 0); \grdc.rd_data_count_i[7]_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"718E8E71" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[7]_0\(0), I3 => \grdc.rd_data_count_i_reg[7]_0\(1), I4 => \^q\(2), O => \grdc.rd_data_count_i[7]_i_14_n_0\ ); \grdc.rd_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[7]_0\(5), O => \grdc.rd_data_count_i[7]_i_2_n_0\ ); \grdc.rd_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[7]_0\(4), O => \grdc.rd_data_count_i[7]_i_3_n_0\ ); \grdc.rd_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[7]_0\(3), O => \grdc.rd_data_count_i[7]_i_4_n_0\ ); \grdc.rd_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[7]_0\(2), O => \grdc.rd_data_count_i[7]_i_5_n_0\ ); \grdc.rd_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[7]_0\(1), O => \grdc.rd_data_count_i[7]_i_6_n_0\ ); \grdc.rd_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CO(6) => \grdc.rd_data_count_i_reg[7]_i_1_n_1\, CO(5) => \grdc.rd_data_count_i_reg[7]_i_1_n_2\, CO(4) => \grdc.rd_data_count_i_reg[7]_i_1_n_3\, CO(3) => \grdc.rd_data_count_i_reg[7]_i_1_n_4\, CO(2) => \grdc.rd_data_count_i_reg[7]_i_1_n_5\, CO(1) => \grdc.rd_data_count_i_reg[7]_i_1_n_6\, CO(0) => \grdc.rd_data_count_i_reg[7]_i_1_n_7\, DI(7) => \grdc.rd_data_count_i[7]_i_2_n_0\, DI(6) => \grdc.rd_data_count_i[7]_i_3_n_0\, DI(5) => \grdc.rd_data_count_i[7]_i_4_n_0\, DI(4) => \grdc.rd_data_count_i[7]_i_5_n_0\, DI(3) => \grdc.rd_data_count_i[7]_i_6_n_0\, DI(2 downto 1) => DI(1 downto 0), DI(0) => \^q\(0), O(7 downto 1) => D(6 downto 0), O(0) => \NLW_grdc.rd_data_count_i_reg[7]_i_1_O_UNCONNECTED\(0), S(7 downto 3) => S(6 downto 2), S(2) => \grdc.rd_data_count_i[7]_i_14_n_0\, S(1 downto 0) => S(1 downto 0) ); \grdc.rd_data_count_i_reg[8]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => \grdc.rd_data_count_i_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_grdc.rd_data_count_i_reg[8]_i_2_O_UNCONNECTED\(7 downto 1), O(0) => D(7), S(7 downto 1) => B"0000000", S(0) => \grdc.rd_data_count_i_reg[8]\(0) ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(0), Q => \^q\(0), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(1), Q => \^q\(1), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(2), Q => \^q\(2), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(3), Q => \^q\(3), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(4), Q => \^q\(4), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(5), Q => \^q\(5), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(6), Q => \^q\(6), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(7), Q => \^q\(7), R => \reg_out_i_reg[8]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_1\(8), Q => \^q\(8), R => \reg_out_i_reg[8]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_4\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[0]_0\ : out STD_LOGIC; wr_pntr_plus1_pf_carry : in STD_LOGIC; \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); clr_full : in STD_LOGIC; wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_4\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_4\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_4\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\ : STD_LOGIC; signal going_full : STD_LOGIC; begin Q(8 downto 0) <= \^q\(8 downto 0); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF80" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\, I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\, I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\, I3 => going_full, I4 => clr_full, O => \reg_out_i_reg[0]_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2), I3 => \^q\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1), I5 => \^q\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(8), I3 => \^q\(8), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7), I5 => \^q\(7), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_3_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5), I3 => \^q\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4), I5 => \^q\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_4_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\, I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\, I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\, I3 => wr_pntr_plus1_pf_carry, O => going_full ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(3), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(3), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(5), I3 => \^q\(5), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(4), I5 => \^q\(4), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_7_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(6), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(8), I3 => \^q\(8), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(7), I5 => \^q\(7), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_8_n_0\ ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(0), I1 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(0), I2 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(2), I3 => \^q\(2), I4 => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(1), I5 => \^q\(1), O => \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_9_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => \^q\(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => \^q\(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => \^q\(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => \^q\(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => \^q\(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => \^q\(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => \^q\(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => \^q\(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => \^q\(8), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_6\ is port ( Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); D : out STD_LOGIC_VECTOR ( 8 downto 0 ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 7 downto 0 ); \reg_out_i_reg[0]_0\ : in STD_LOGIC; \reg_out_i_reg[8]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_6\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_6\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_6\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\ : STD_LOGIC; signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute ADDER_THRESHOLD of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\ : label is 35; attribute METHODOLOGY_DRC_VIOS of \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(8 downto 0) <= \^q\(8 downto 0); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\(0), O => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \^q\(0), CI_TOP => '0', CO(7) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\, CO(6) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_1\, CO(5) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_2\, CO(4) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_3\, CO(3) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_4\, CO(2) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_5\, CO(1) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_6\, CO(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_7\, DI(7 downto 1) => \^q\(7 downto 1), DI(0) => DI(0), O(7 downto 0) => D(7 downto 0), S(7 downto 0) => S(7 downto 0) ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]_i_1_n_0\, CI_TOP => '0', CO(7 downto 0) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\(7 downto 0), DI(7 downto 0) => B"00000000", O(7 downto 1) => \NLW_gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\(7 downto 1), O(0) => D(8), S(7 downto 1) => B"0000000", S(0) => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[8]_i_2_n_0\ ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(0), Q => \^q\(0), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(1), Q => \^q\(1), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(2), Q => \^q\(2), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(3), Q => \^q\(3), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(4), Q => \^q\(4), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(5), Q => \^q\(5), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(6), Q => \^q\(6), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(7), Q => \^q\(7), R => \reg_out_i_reg[0]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \reg_out_i_reg[8]_0\(8), Q => \^q\(8), R => \reg_out_i_reg[0]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 9 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1\ is begin \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => Q(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => Q(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => Q(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => Q(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => Q(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => Q(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => Q(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => Q(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => Q(8), R => wrst_busy ); \reg_out_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(9), Q => Q(9), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_1\ is port ( DI : out STD_LOGIC_VECTOR ( 5 downto 0 ); Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[9]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 9 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_1\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_1\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \reg_out_i_reg_n_0_[9]\ : STD_LOGIC; begin Q(8 downto 0) <= \^q\(8 downto 0); \grdc.rd_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[9]\(5), O => DI(5) ); \grdc.rd_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[9]\(4), O => DI(4) ); \grdc.rd_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[9]\(3), O => DI(3) ); \grdc.rd_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[9]\(2), O => DI(2) ); \grdc.rd_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[9]\(1), O => DI(1) ); \grdc.rd_data_count_i[7]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"8E" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]\(0), O => DI(0) ); \grdc.rd_data_count_i[9]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[9]\(6), O => \reg_out_i_reg[7]_0\(0) ); \grdc.rd_data_count_i[9]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"D22D" ) port map ( I0 => \^q\(8), I1 => \grdc.rd_data_count_i_reg[9]\(7), I2 => \grdc.rd_data_count_i_reg[9]\(8), I3 => \reg_out_i_reg_n_0_[9]\, O => S(0) ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(0), Q => \^q\(0), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(1), Q => \^q\(1), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(2), Q => \^q\(2), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(3), Q => \^q\(3), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(4), Q => \^q\(4), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(5), Q => \^q\(5), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(6), Q => \^q\(6), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(7), Q => \^q\(7), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(8), Q => \^q\(8), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(9), Q => \reg_out_i_reg_n_0_[9]\, R => \reg_out_i_reg[9]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_5\ is port ( Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); wrst_busy : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 9 downto 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_5\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_5\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_5\ is begin \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(0), Q => Q(0), R => wrst_busy ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(1), Q => Q(1), R => wrst_busy ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(2), Q => Q(2), R => wrst_busy ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(3), Q => Q(3), R => wrst_busy ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(4), Q => Q(4), R => wrst_busy ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(5), Q => Q(5), R => wrst_busy ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(6), Q => Q(6), R => wrst_busy ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(7), Q => Q(7), R => wrst_busy ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(8), Q => Q(8), R => wrst_busy ); \reg_out_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => D(9), Q => Q(9), R => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_7\ is port ( DI : out STD_LOGIC_VECTOR ( 5 downto 0 ); Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \reg_out_i_reg[9]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 9 downto 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_7\ : entity is "xpm_fifo_reg_vec"; end \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_7\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_7\ is signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \reg_out_i_reg_n_0_[9]\ : STD_LOGIC; begin Q(8 downto 0) <= \^q\(8 downto 0); \grdc.rd_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), I1 => \grdc.rd_data_count_i_reg[9]\(5), O => DI(5) ); \grdc.rd_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), I1 => \grdc.rd_data_count_i_reg[9]\(4), O => DI(4) ); \grdc.rd_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), I1 => \grdc.rd_data_count_i_reg[9]\(3), O => DI(3) ); \grdc.rd_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(3), I1 => \grdc.rd_data_count_i_reg[9]\(2), O => DI(2) ); \grdc.rd_data_count_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(2), I1 => \grdc.rd_data_count_i_reg[9]\(1), O => DI(1) ); \grdc.rd_data_count_i[7]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"8E" ) port map ( I0 => \^q\(1), I1 => \grdc.rd_data_count_i_reg[7]\(0), I2 => \grdc.rd_data_count_i_reg[9]\(0), O => DI(0) ); \grdc.rd_data_count_i[9]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), I1 => \grdc.rd_data_count_i_reg[9]\(6), O => \reg_out_i_reg[7]_0\(0) ); \grdc.rd_data_count_i[9]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"D22D" ) port map ( I0 => \^q\(8), I1 => \grdc.rd_data_count_i_reg[9]\(7), I2 => \grdc.rd_data_count_i_reg[9]\(8), I3 => \reg_out_i_reg_n_0_[9]\, O => S(0) ); \reg_out_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(0), Q => \^q\(0), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(1), Q => \^q\(1), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(2), Q => \^q\(2), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(3), Q => \^q\(3), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(4), Q => \^q\(4), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(5), Q => \^q\(5), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(6), Q => \^q\(6), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(7), Q => \^q\(7), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(8), Q => \^q\(8), R => \reg_out_i_reg[9]_0\ ); \reg_out_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => D(9), Q => \reg_out_i_reg_n_0_[9]\, R => \reg_out_i_reg[9]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_memory_base is port ( sleep : in STD_LOGIC; clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 7 downto 0 ); dina : in STD_LOGIC_VECTOR ( 49 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; douta : out STD_LOGIC_VECTOR ( 49 downto 0 ); sbiterra : out STD_LOGIC; dbiterra : out STD_LOGIC; clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 49 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; doutb : out STD_LOGIC_VECTOR ( 49 downto 0 ); sbiterrb : out STD_LOGIC; dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute ECC_MODE : integer; attribute ECC_MODE of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of axi_chip2chip_64B66B_xpm_memory_base : entity is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of axi_chip2chip_64B66B_xpm_memory_base : entity is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of axi_chip2chip_64B66B_xpm_memory_base : entity is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of axi_chip2chip_64B66B_xpm_memory_base : entity is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of axi_chip2chip_64B66B_xpm_memory_base : entity is 12800; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_memory_base : entity is "xpm_memory_base"; attribute P_ECC_MODE : string; attribute P_ECC_MODE of axi_chip2chip_64B66B_xpm_memory_base : entity is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of axi_chip2chip_64B66B_xpm_memory_base : entity is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of axi_chip2chip_64B66B_xpm_memory_base : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of axi_chip2chip_64B66B_xpm_memory_base : entity is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of axi_chip2chip_64B66B_xpm_memory_base : entity is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of axi_chip2chip_64B66B_xpm_memory_base : entity is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is "SYNC"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute VERSION : integer; attribute VERSION of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of axi_chip2chip_64B66B_xpm_memory_base : entity is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 50; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of axi_chip2chip_64B66B_xpm_memory_base : entity is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of axi_chip2chip_64B66B_xpm_memory_base : entity is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of axi_chip2chip_64B66B_xpm_memory_base : entity is 1; attribute XPM_MODULE : string; attribute XPM_MODULE of axi_chip2chip_64B66B_xpm_memory_base : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of axi_chip2chip_64B66B_xpm_memory_base : entity is "soft"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of axi_chip2chip_64B66B_xpm_memory_base : entity is 52; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of axi_chip2chip_64B66B_xpm_memory_base : entity is 52; end axi_chip2chip_64B66B_xpm_memory_base; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_memory_base is signal \\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 18 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d50"; attribute \MEM.PORTA.DATA_LSB\ : integer; attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d50"; attribute \MEM.PORTB.DATA_LSB\ : integer; attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; attribute RDADDR_COLLISION_HWCONFIG : string; attribute RDADDR_COLLISION_HWCONFIG of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "DELAYED_WRITE"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 12800; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; attribute ram_addr_begin : integer; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_addr_end : integer; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute ram_offset : integer; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_begin : integer; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_end : integer; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; begin dbiterra <= \\; dbiterrb <= \\; douta(49) <= \\; douta(48) <= \\; douta(47) <= \\; douta(46) <= \\; douta(45) <= \\; douta(44) <= \\; douta(43) <= \\; douta(42) <= \\; douta(41) <= \\; douta(40) <= \\; douta(39) <= \\; douta(38) <= \\; douta(37) <= \\; douta(36) <= \\; douta(35) <= \\; douta(34) <= \\; douta(33) <= \\; douta(32) <= \\; douta(31) <= \\; douta(30) <= \\; douta(29) <= \\; douta(28) <= \\; douta(27) <= \\; douta(26) <= \\; douta(25) <= \\; douta(24) <= \\; douta(23) <= \\; douta(22) <= \\; douta(21) <= \\; douta(20) <= \\; douta(19) <= \\; douta(18) <= \\; douta(17) <= \\; douta(16) <= \\; douta(15) <= \\; douta(14) <= \\; douta(13) <= \\; douta(12) <= \\; douta(11) <= \\; douta(10) <= \\; douta(9) <= \\; douta(8) <= \\; douta(7) <= \\; douta(6) <= \\; douta(5) <= \\; douta(4) <= \\; douta(3) <= \\; douta(2) <= \\; douta(1) <= \\; douta(0) <= \\; sbiterra <= \\; sbiterrb <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E2 generic map( CASCADE_ORDER_A => "NONE", CASCADE_ORDER_B => "NONE", CLOCK_DOMAINS => "INDEPENDENT", DOA_REG => 1, DOB_REG => 1, ENADDRENA => "FALSE", ENADDRENB => "FALSE", EN_ECC_PIPE => "FALSE", EN_ECC_READ => "FALSE", EN_ECC_WRITE => "FALSE", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", RDADDRCHANGEA => "FALSE", RDADDRCHANGEB => "FALSE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SLEEP_ASYNC => "TRUE", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(14) => '0', ADDRARDADDR(13 downto 6) => addrb(7 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(14) => '0', ADDRBWRADDR(13 downto 6) => addra(7 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", ADDRENA => '0', ADDRENB => '0', CASDIMUXA => '0', CASDIMUXB => '0', CASDINA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\(31 downto 0), CASDINB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\(31 downto 0), CASDINPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\(3 downto 0), CASDINPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\(3 downto 0), CASDOMUXA => '0', CASDOMUXB => '0', CASDOMUXEN_A => '1', CASDOMUXEN_B => '1', CASDOUTA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\(31 downto 0), CASDOUTB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\(31 downto 0), CASDOUTPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\(3 downto 0), CASDOUTPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\(3 downto 0), CASINDBITERR => '0', CASINSBITERR => '0', CASOREGIMUXA => '0', CASOREGIMUXB => '0', CASOREGIMUXEN_A => '1', CASOREGIMUXEN_B => '1', CASOUTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\, CASOUTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\, CLKARDCLK => clkb, CLKBWRCLK => clka, DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, DINADIN(31 downto 0) => dina(31 downto 0), DINBDIN(31 downto 18) => B"11111111111111", DINBDIN(17 downto 0) => dina(49 downto 32), DINPADINP(3 downto 0) => B"1111", DINPBDINP(3 downto 0) => B"1111", DOUTADOUT(31 downto 0) => doutb(31 downto 0), DOUTBDOUT(31 downto 18) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\(31 downto 18), DOUTBDOUT(17 downto 0) => doutb(49 downto 32), DOUTPADOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\(3 downto 0), DOUTPBDOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), ECCPIPECE => '1', ENARDEN => enb, ENBWREN => ena, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => regceb, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => rstb, RSTREGB => '0', SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, SLEEP => '0', WEA(3 downto 0) => B"0000", WEBWE(7) => ena, WEBWE(6) => ena, WEBWE(5) => ena, WEBWE(4) => ena, WEBWE(3) => ena, WEBWE(2) => ena, WEBWE(1) => ena, WEBWE(0) => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_memory_base__2\ is port ( sleep : in STD_LOGIC; clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 7 downto 0 ); dina : in STD_LOGIC_VECTOR ( 49 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; douta : out STD_LOGIC_VECTOR ( 49 downto 0 ); sbiterra : out STD_LOGIC; dbiterra : out STD_LOGIC; clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 49 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; doutb : out STD_LOGIC_VECTOR ( 49 downto 0 ); sbiterrb : out STD_LOGIC; dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 12800; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "xpm_memory_base"; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "SYNC"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 50; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 1; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is "soft"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 52; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__2\ : entity is 52; end \axi_chip2chip_64B66B_xpm_memory_base__2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_memory_base__2\ is signal \\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 18 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d50"; attribute \MEM.PORTA.DATA_LSB\ : integer; attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d50"; attribute \MEM.PORTB.DATA_LSB\ : integer; attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; attribute RDADDR_COLLISION_HWCONFIG : string; attribute RDADDR_COLLISION_HWCONFIG of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "DELAYED_WRITE"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 12800; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; attribute ram_addr_begin : integer; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_addr_end : integer; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute ram_offset : integer; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_begin : integer; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_end : integer; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 49; begin dbiterra <= \\; dbiterrb <= \\; douta(49) <= \\; douta(48) <= \\; douta(47) <= \\; douta(46) <= \\; douta(45) <= \\; douta(44) <= \\; douta(43) <= \\; douta(42) <= \\; douta(41) <= \\; douta(40) <= \\; douta(39) <= \\; douta(38) <= \\; douta(37) <= \\; douta(36) <= \\; douta(35) <= \\; douta(34) <= \\; douta(33) <= \\; douta(32) <= \\; douta(31) <= \\; douta(30) <= \\; douta(29) <= \\; douta(28) <= \\; douta(27) <= \\; douta(26) <= \\; douta(25) <= \\; douta(24) <= \\; douta(23) <= \\; douta(22) <= \\; douta(21) <= \\; douta(20) <= \\; douta(19) <= \\; douta(18) <= \\; douta(17) <= \\; douta(16) <= \\; douta(15) <= \\; douta(14) <= \\; douta(13) <= \\; douta(12) <= \\; douta(11) <= \\; douta(10) <= \\; douta(9) <= \\; douta(8) <= \\; douta(7) <= \\; douta(6) <= \\; douta(5) <= \\; douta(4) <= \\; douta(3) <= \\; douta(2) <= \\; douta(1) <= \\; douta(0) <= \\; sbiterra <= \\; sbiterrb <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E2 generic map( CASCADE_ORDER_A => "NONE", CASCADE_ORDER_B => "NONE", CLOCK_DOMAINS => "INDEPENDENT", DOA_REG => 1, DOB_REG => 1, ENADDRENA => "FALSE", ENADDRENB => "FALSE", EN_ECC_PIPE => "FALSE", EN_ECC_READ => "FALSE", EN_ECC_WRITE => "FALSE", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", RDADDRCHANGEA => "FALSE", RDADDRCHANGEB => "FALSE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SLEEP_ASYNC => "TRUE", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(14) => '0', ADDRARDADDR(13 downto 6) => addrb(7 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(14) => '0', ADDRBWRADDR(13 downto 6) => addra(7 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", ADDRENA => '0', ADDRENB => '0', CASDIMUXA => '0', CASDIMUXB => '0', CASDINA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\(31 downto 0), CASDINB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\(31 downto 0), CASDINPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\(3 downto 0), CASDINPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\(3 downto 0), CASDOMUXA => '0', CASDOMUXB => '0', CASDOMUXEN_A => '1', CASDOMUXEN_B => '1', CASDOUTA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\(31 downto 0), CASDOUTB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\(31 downto 0), CASDOUTPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\(3 downto 0), CASDOUTPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\(3 downto 0), CASINDBITERR => '0', CASINSBITERR => '0', CASOREGIMUXA => '0', CASOREGIMUXB => '0', CASOREGIMUXEN_A => '1', CASOREGIMUXEN_B => '1', CASOUTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\, CASOUTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\, CLKARDCLK => clkb, CLKBWRCLK => clka, DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, DINADIN(31 downto 0) => dina(31 downto 0), DINBDIN(31 downto 18) => B"11111111111111", DINBDIN(17 downto 0) => dina(49 downto 32), DINPADINP(3 downto 0) => B"1111", DINPBDINP(3 downto 0) => B"1111", DOUTADOUT(31 downto 0) => doutb(31 downto 0), DOUTBDOUT(31 downto 18) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\(31 downto 18), DOUTBDOUT(17 downto 0) => doutb(49 downto 32), DOUTPADOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\(3 downto 0), DOUTPBDOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), ECCPIPECE => '1', ENARDEN => enb, ENBWREN => ena, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => regceb, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => rstb, RSTREGB => '0', SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, SLEEP => '0', WEA(3 downto 0) => B"0000", WEBWE(7) => ena, WEBWE(6) => ena, WEBWE(5) => ena, WEBWE(4) => ena, WEBWE(3) => ena, WEBWE(2) => ena, WEBWE(1) => ena, WEBWE(0) => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ is port ( sleep : in STD_LOGIC; clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 40 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; douta : out STD_LOGIC_VECTOR ( 40 downto 0 ); sbiterra : out STD_LOGIC; dbiterra : out STD_LOGIC; clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 40 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; doutb : out STD_LOGIC_VECTOR ( 40 downto 0 ); sbiterrb : out STD_LOGIC; dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 20992; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "xpm_memory_base"; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 512; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 9; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "SYNC"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 41; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 1; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is "soft"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 44; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ : entity is 44; end \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ is signal \\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 9 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d41"; attribute \MEM.PORTA.DATA_LSB\ : integer; attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d41"; attribute \MEM.PORTB.DATA_LSB\ : integer; attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; attribute RDADDR_COLLISION_HWCONFIG : string; attribute RDADDR_COLLISION_HWCONFIG of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "DELAYED_WRITE"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 20992; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; attribute ram_addr_begin : integer; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_addr_end : integer; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute ram_offset : integer; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_begin : integer; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_end : integer; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; begin dbiterra <= \\; dbiterrb <= \\; douta(40) <= \\; douta(39) <= \\; douta(38) <= \\; douta(37) <= \\; douta(36) <= \\; douta(35) <= \\; douta(34) <= \\; douta(33) <= \\; douta(32) <= \\; douta(31) <= \\; douta(30) <= \\; douta(29) <= \\; douta(28) <= \\; douta(27) <= \\; douta(26) <= \\; douta(25) <= \\; douta(24) <= \\; douta(23) <= \\; douta(22) <= \\; douta(21) <= \\; douta(20) <= \\; douta(19) <= \\; douta(18) <= \\; douta(17) <= \\; douta(16) <= \\; douta(15) <= \\; douta(14) <= \\; douta(13) <= \\; douta(12) <= \\; douta(11) <= \\; douta(10) <= \\; douta(9) <= \\; douta(8) <= \\; douta(7) <= \\; douta(6) <= \\; douta(5) <= \\; douta(4) <= \\; douta(3) <= \\; douta(2) <= \\; douta(1) <= \\; douta(0) <= \\; sbiterra <= \\; sbiterrb <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E2 generic map( CASCADE_ORDER_A => "NONE", CASCADE_ORDER_B => "NONE", CLOCK_DOMAINS => "INDEPENDENT", DOA_REG => 1, DOB_REG => 1, ENADDRENA => "FALSE", ENADDRENB => "FALSE", EN_ECC_PIPE => "FALSE", EN_ECC_READ => "FALSE", EN_ECC_WRITE => "FALSE", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", RDADDRCHANGEA => "FALSE", RDADDRCHANGEB => "FALSE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SLEEP_ASYNC => "TRUE", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(14 downto 6) => addrb(8 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(14 downto 6) => addra(8 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", ADDRENA => '0', ADDRENB => '0', CASDIMUXA => '0', CASDIMUXB => '0', CASDINA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\(31 downto 0), CASDINB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\(31 downto 0), CASDINPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\(3 downto 0), CASDINPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\(3 downto 0), CASDOMUXA => '0', CASDOMUXB => '0', CASDOMUXEN_A => '1', CASDOMUXEN_B => '1', CASDOUTA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\(31 downto 0), CASDOUTB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\(31 downto 0), CASDOUTPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\(3 downto 0), CASDOUTPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\(3 downto 0), CASINDBITERR => '0', CASINSBITERR => '0', CASOREGIMUXA => '0', CASOREGIMUXB => '0', CASOREGIMUXEN_A => '1', CASOREGIMUXEN_B => '1', CASOUTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\, CASOUTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\, CLKARDCLK => clkb, CLKBWRCLK => clka, DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, DINADIN(31 downto 0) => dina(31 downto 0), DINBDIN(31 downto 9) => B"11111111111111111111111", DINBDIN(8 downto 0) => dina(40 downto 32), DINPADINP(3 downto 0) => B"1111", DINPBDINP(3 downto 0) => B"1111", DOUTADOUT(31 downto 0) => doutb(31 downto 0), DOUTBDOUT(31 downto 9) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\(31 downto 9), DOUTBDOUT(8 downto 0) => doutb(40 downto 32), DOUTPADOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\(3 downto 0), DOUTPBDOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), ECCPIPECE => '1', ENARDEN => enb, ENBWREN => ena, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => regceb, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => rstb, RSTREGB => '0', SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, SLEEP => '0', WEA(3 downto 0) => B"0000", WEBWE(7) => ena, WEBWE(6) => ena, WEBWE(5) => ena, WEBWE(4) => ena, WEBWE(3) => ena, WEBWE(2) => ena, WEBWE(1) => ena, WEBWE(0) => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ is port ( sleep : in STD_LOGIC; clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 40 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; douta : out STD_LOGIC_VECTOR ( 40 downto 0 ); sbiterra : out STD_LOGIC; dbiterra : out STD_LOGIC; clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 40 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; doutb : out STD_LOGIC_VECTOR ( 40 downto 0 ); sbiterrb : out STD_LOGIC; dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 20992; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "xpm_memory_base"; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 512; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 9; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "SYNC"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 41; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 1; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is "soft"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 44; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ : entity is 44; end \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ is signal \\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 9 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d41"; attribute \MEM.PORTA.DATA_LSB\ : integer; attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d41"; attribute \MEM.PORTB.DATA_LSB\ : integer; attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; attribute RDADDR_COLLISION_HWCONFIG : string; attribute RDADDR_COLLISION_HWCONFIG of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "DELAYED_WRITE"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 20992; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; attribute ram_addr_begin : integer; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_addr_end : integer; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; attribute ram_offset : integer; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_begin : integer; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; attribute ram_slice_end : integer; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 40; begin dbiterra <= \\; dbiterrb <= \\; douta(40) <= \\; douta(39) <= \\; douta(38) <= \\; douta(37) <= \\; douta(36) <= \\; douta(35) <= \\; douta(34) <= \\; douta(33) <= \\; douta(32) <= \\; douta(31) <= \\; douta(30) <= \\; douta(29) <= \\; douta(28) <= \\; douta(27) <= \\; douta(26) <= \\; douta(25) <= \\; douta(24) <= \\; douta(23) <= \\; douta(22) <= \\; douta(21) <= \\; douta(20) <= \\; douta(19) <= \\; douta(18) <= \\; douta(17) <= \\; douta(16) <= \\; douta(15) <= \\; douta(14) <= \\; douta(13) <= \\; douta(12) <= \\; douta(11) <= \\; douta(10) <= \\; douta(9) <= \\; douta(8) <= \\; douta(7) <= \\; douta(6) <= \\; douta(5) <= \\; douta(4) <= \\; douta(3) <= \\; douta(2) <= \\; douta(1) <= \\; douta(0) <= \\; sbiterra <= \\; sbiterrb <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E2 generic map( CASCADE_ORDER_A => "NONE", CASCADE_ORDER_B => "NONE", CLOCK_DOMAINS => "INDEPENDENT", DOA_REG => 1, DOB_REG => 1, ENADDRENA => "FALSE", ENADDRENB => "FALSE", EN_ECC_PIPE => "FALSE", EN_ECC_READ => "FALSE", EN_ECC_WRITE => "FALSE", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", RDADDRCHANGEA => "FALSE", RDADDRCHANGEB => "FALSE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SLEEP_ASYNC => "TRUE", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(14 downto 6) => addrb(8 downto 0), ADDRARDADDR(5 downto 0) => B"111111", ADDRBWRADDR(14 downto 6) => addra(8 downto 0), ADDRBWRADDR(5 downto 0) => B"111111", ADDRENA => '0', ADDRENB => '0', CASDIMUXA => '0', CASDIMUXB => '0', CASDINA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINA_UNCONNECTED\(31 downto 0), CASDINB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINB_UNCONNECTED\(31 downto 0), CASDINPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPA_UNCONNECTED\(3 downto 0), CASDINPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDINPB_UNCONNECTED\(3 downto 0), CASDOMUXA => '0', CASDOMUXB => '0', CASDOMUXEN_A => '1', CASDOMUXEN_B => '1', CASDOUTA(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTA_UNCONNECTED\(31 downto 0), CASDOUTB(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTB_UNCONNECTED\(31 downto 0), CASDOUTPA(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPA_UNCONNECTED\(3 downto 0), CASDOUTPB(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASDOUTPB_UNCONNECTED\(3 downto 0), CASINDBITERR => '0', CASINSBITERR => '0', CASOREGIMUXA => '0', CASOREGIMUXB => '0', CASOREGIMUXEN_A => '1', CASOREGIMUXEN_B => '1', CASOUTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTDBITERR_UNCONNECTED\, CASOUTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASOUTSBITERR_UNCONNECTED\, CLKARDCLK => clkb, CLKBWRCLK => clka, DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, DINADIN(31 downto 0) => dina(31 downto 0), DINBDIN(31 downto 9) => B"11111111111111111111111", DINBDIN(8 downto 0) => dina(40 downto 32), DINPADINP(3 downto 0) => B"1111", DINPBDINP(3 downto 0) => B"1111", DOUTADOUT(31 downto 0) => doutb(31 downto 0), DOUTBDOUT(31 downto 9) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTBDOUT_UNCONNECTED\(31 downto 9), DOUTBDOUT(8 downto 0) => doutb(40 downto 32), DOUTPADOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPADOUTP_UNCONNECTED\(3 downto 0), DOUTPBDOUTP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOUTPBDOUTP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), ECCPIPECE => '1', ENARDEN => enb, ENBWREN => ena, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => regceb, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => rstb, RSTREGB => '0', SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, SLEEP => '0', WEA(3 downto 0) => B"0000", WEBWE(7) => ena, WEBWE(6) => ena, WEBWE(5) => ena, WEBWE(4) => ena, WEBWE(3) => ena, WEBWE(2) => ena, WEBWE(1) => ena, WEBWE(0) => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ is port ( sleep : in STD_LOGIC; clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 7 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; douta : out STD_LOGIC_VECTOR ( 7 downto 0 ); sbiterra : out STD_LOGIC; dbiterra : out STD_LOGIC; clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 7 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ); sbiterrb : out STD_LOGIC; dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 2048; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "xpm_memory_base"; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "distributed"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "yes"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "SYNC"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 1; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "TRUE"; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is "soft"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ : entity is 8; end \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ is signal \\ : STD_LOGIC; signal \gen_rd_b.doutb_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gen_rd_b.doutb_reg_reg_pipe_10_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_11_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_12_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_13_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_14_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_15_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_16_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_17_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_18_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_19_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_1_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_20_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_21_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_22_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_23_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_24_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_25_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_26_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_27_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_28_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_29_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_2_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_30_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_31_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_32_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_33_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_34_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_3_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_4_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_7_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_8_reg_n_0\ : STD_LOGIC; signal \gen_rd_b.doutb_reg_reg_pipe_9_reg_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_i_1_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_1\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_2\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_3\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_4\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_5\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_6\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_i_1_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_1\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_2\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_3\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_4\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_5\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_6\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_i_1_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_1\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_2\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_3\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_4\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_5\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_6\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_i_1_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_0\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_1\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_2\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_3\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_4\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_5\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_6\ : STD_LOGIC; signal \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7_n_0\ : STD_LOGIC; signal select_piped_1_reg_pipe_5_reg_n_0 : STD_LOGIC; signal select_piped_3_reg_pipe_6_reg_n_0 : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_DOH_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7_SPO_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_DOH_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7_SPO_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_DOH_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7_SPO_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_DOH_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7_SPO_UNCONNECTED\ : STD_LOGIC; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is ""; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 2048; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is "RAM_SDP"; attribute dram_emb_xdc : string; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is "yes"; attribute ram_addr_begin : integer; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 0; attribute ram_addr_end : integer; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 63; attribute ram_offset : integer; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 0; attribute ram_slice_begin : integer; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 0; attribute ram_slice_end : integer; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\ : label is 6; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 0; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 63; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 7; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\ : label is 7; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is ""; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 128; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 191; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 0; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\ : label is 6; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 128; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 191; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 7; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\ : label is 7; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is ""; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 192; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 255; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 0; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\ : label is 6; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 192; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 255; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 7; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\ : label is 7; attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is ""; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 64; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 127; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 0; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\ : label is 6; attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 2048; attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is "RAM_SDP"; attribute dram_emb_xdc of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is "yes"; attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 64; attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 127; attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 0; attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 7; attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\ : label is 7; begin dbiterra <= \\; dbiterrb <= \\; douta(7) <= \\; douta(6) <= \\; douta(5) <= \\; douta(4) <= \\; douta(3) <= \\; douta(2) <= \\; douta(1) <= \\; douta(0) <= \\; sbiterra <= \\; sbiterrb <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_rd_b.doutb_reg_reg_pipe_10_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_6\, Q => \gen_rd_b.doutb_reg_reg_pipe_10_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_11_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_5\, Q => \gen_rd_b.doutb_reg_reg_pipe_11_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_12_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_5\, Q => \gen_rd_b.doutb_reg_reg_pipe_12_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_13_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_5\, Q => \gen_rd_b.doutb_reg_reg_pipe_13_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_14_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_5\, Q => \gen_rd_b.doutb_reg_reg_pipe_14_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_15_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_4\, Q => \gen_rd_b.doutb_reg_reg_pipe_15_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_16_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_4\, Q => \gen_rd_b.doutb_reg_reg_pipe_16_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_17_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_4\, Q => \gen_rd_b.doutb_reg_reg_pipe_17_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_18_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_4\, Q => \gen_rd_b.doutb_reg_reg_pipe_18_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_19_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_3\, Q => \gen_rd_b.doutb_reg_reg_pipe_19_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_1_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_1_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_20_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_3\, Q => \gen_rd_b.doutb_reg_reg_pipe_20_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_21_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_3\, Q => \gen_rd_b.doutb_reg_reg_pipe_21_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_22_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_3\, Q => \gen_rd_b.doutb_reg_reg_pipe_22_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_23_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_2\, Q => \gen_rd_b.doutb_reg_reg_pipe_23_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_24_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_2\, Q => \gen_rd_b.doutb_reg_reg_pipe_24_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_25_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_2\, Q => \gen_rd_b.doutb_reg_reg_pipe_25_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_26_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_2\, Q => \gen_rd_b.doutb_reg_reg_pipe_26_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_27_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_1\, Q => \gen_rd_b.doutb_reg_reg_pipe_27_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_28_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_1\, Q => \gen_rd_b.doutb_reg_reg_pipe_28_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_29_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_1\, Q => \gen_rd_b.doutb_reg_reg_pipe_29_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_2_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_2_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_30_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_1\, Q => \gen_rd_b.doutb_reg_reg_pipe_30_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_31_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_31_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_32_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_32_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_33_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_33_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_34_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_34_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_3_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_3_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_4_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7_n_0\, Q => \gen_rd_b.doutb_reg_reg_pipe_4_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_7_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_6\, Q => \gen_rd_b.doutb_reg_reg_pipe_7_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_8_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_6\, Q => \gen_rd_b.doutb_reg_reg_pipe_8_reg_n_0\, R => '0' ); \gen_rd_b.doutb_reg_reg_pipe_9_reg\: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_6\, Q => \gen_rd_b.doutb_reg_reg_pipe_9_reg_n_0\, R => '0' ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_34_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_33_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_32_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_31_reg_n_0\, O => \gen_rd_b.doutb_reg\(0) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_30_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_29_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_28_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_27_reg_n_0\, O => \gen_rd_b.doutb_reg\(1) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_26_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_25_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_24_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_23_reg_n_0\, O => \gen_rd_b.doutb_reg\(2) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_22_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_21_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_20_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_19_reg_n_0\, O => \gen_rd_b.doutb_reg\(3) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_18_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_17_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_16_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_15_reg_n_0\, O => \gen_rd_b.doutb_reg\(4) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_14_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_13_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_12_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_11_reg_n_0\, O => \gen_rd_b.doutb_reg\(5) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_10_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_9_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_8_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_7_reg_n_0\, O => \gen_rd_b.doutb_reg\(6) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe[0][7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \gen_rd_b.doutb_reg_reg_pipe_4_reg_n_0\, I1 => \gen_rd_b.doutb_reg_reg_pipe_3_reg_n_0\, I2 => select_piped_3_reg_pipe_6_reg_n_0, I3 => \gen_rd_b.doutb_reg_reg_pipe_2_reg_n_0\, I4 => select_piped_1_reg_pipe_5_reg_n_0, I5 => \gen_rd_b.doutb_reg_reg_pipe_1_reg_n_0\, O => \gen_rd_b.doutb_reg\(7) ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(0), Q => doutb(0), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(1), Q => doutb(1), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(2), Q => doutb(2), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(3), Q => doutb(3), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(4), Q => doutb(4), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(5), Q => doutb(5), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(6), Q => doutb(6), R => rstb ); \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => regceb, D => \gen_rd_b.doutb_reg\(7), Q => doutb(7), R => rstb ); \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6\: unisim.vcomponents.RAM64M8 generic map( INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000", INIT_E => X"0000000000000000", INIT_F => X"0000000000000000", INIT_G => X"0000000000000000", INIT_H => X"0000000000000000" ) port map ( ADDRA(5 downto 0) => addrb(5 downto 0), ADDRB(5 downto 0) => addrb(5 downto 0), ADDRC(5 downto 0) => addrb(5 downto 0), ADDRD(5 downto 0) => addrb(5 downto 0), ADDRE(5 downto 0) => addrb(5 downto 0), ADDRF(5 downto 0) => addrb(5 downto 0), ADDRG(5 downto 0) => addrb(5 downto 0), ADDRH(5 downto 0) => addra(5 downto 0), DIA => dina(0), DIB => dina(1), DIC => dina(2), DID => dina(3), DIE => dina(4), DIF => dina(5), DIG => dina(6), DIH => '0', DOA => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_0\, DOB => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_1\, DOC => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_2\, DOD => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_3\, DOE => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_4\, DOF => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_5\, DOG => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_n_6\, DOH => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_DOH_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => ena, I1 => addra(6), I2 => addra(7), O => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7\: unisim.vcomponents.RAM64X1D generic map( INIT => X"0000000000000000" ) port map ( A0 => addra(0), A1 => addra(1), A2 => addra(2), A3 => addra(3), A4 => addra(4), A5 => addra(5), D => dina(7), DPO => \gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7_n_0\, DPRA0 => addrb(0), DPRA1 => addrb(1), DPRA2 => addrb(2), DPRA3 => addrb(3), DPRA4 => addrb(4), DPRA5 => addrb(5), SPO => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_63_7_7_SPO_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_0_63_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6\: unisim.vcomponents.RAM64M8 generic map( INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000", INIT_E => X"0000000000000000", INIT_F => X"0000000000000000", INIT_G => X"0000000000000000", INIT_H => X"0000000000000000" ) port map ( ADDRA(5 downto 0) => addrb(5 downto 0), ADDRB(5 downto 0) => addrb(5 downto 0), ADDRC(5 downto 0) => addrb(5 downto 0), ADDRD(5 downto 0) => addrb(5 downto 0), ADDRE(5 downto 0) => addrb(5 downto 0), ADDRF(5 downto 0) => addrb(5 downto 0), ADDRG(5 downto 0) => addrb(5 downto 0), ADDRH(5 downto 0) => addra(5 downto 0), DIA => dina(0), DIB => dina(1), DIC => dina(2), DID => dina(3), DIE => dina(4), DIF => dina(5), DIG => dina(6), DIH => '0', DOA => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_0\, DOB => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_1\, DOC => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_2\, DOD => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_3\, DOE => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_4\, DOF => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_5\, DOG => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_n_6\, DOH => \NLW_gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_DOH_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => addra(6), I1 => addra(7), I2 => ena, O => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7\: unisim.vcomponents.RAM64X1D generic map( INIT => X"0000000000000000" ) port map ( A0 => addra(0), A1 => addra(1), A2 => addra(2), A3 => addra(3), A4 => addra(4), A5 => addra(5), D => dina(7), DPO => \gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7_n_0\, DPRA0 => addrb(0), DPRA1 => addrb(1), DPRA2 => addrb(2), DPRA3 => addrb(3), DPRA4 => addrb(4), DPRA5 => addrb(5), SPO => \NLW_gen_wr_a.gen_word_narrow.mem_reg_128_191_7_7_SPO_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_128_191_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6\: unisim.vcomponents.RAM64M8 generic map( INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000", INIT_E => X"0000000000000000", INIT_F => X"0000000000000000", INIT_G => X"0000000000000000", INIT_H => X"0000000000000000" ) port map ( ADDRA(5 downto 0) => addrb(5 downto 0), ADDRB(5 downto 0) => addrb(5 downto 0), ADDRC(5 downto 0) => addrb(5 downto 0), ADDRD(5 downto 0) => addrb(5 downto 0), ADDRE(5 downto 0) => addrb(5 downto 0), ADDRF(5 downto 0) => addrb(5 downto 0), ADDRG(5 downto 0) => addrb(5 downto 0), ADDRH(5 downto 0) => addra(5 downto 0), DIA => dina(0), DIB => dina(1), DIC => dina(2), DID => dina(3), DIE => dina(4), DIF => dina(5), DIG => dina(6), DIH => '0', DOA => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_0\, DOB => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_1\, DOC => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_2\, DOD => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_3\, DOE => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_4\, DOF => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_5\, DOG => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_n_6\, DOH => \NLW_gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_DOH_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => ena, I1 => addra(6), I2 => addra(7), O => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7\: unisim.vcomponents.RAM64X1D generic map( INIT => X"0000000000000000" ) port map ( A0 => addra(0), A1 => addra(1), A2 => addra(2), A3 => addra(3), A4 => addra(4), A5 => addra(5), D => dina(7), DPO => \gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7_n_0\, DPRA0 => addrb(0), DPRA1 => addrb(1), DPRA2 => addrb(2), DPRA3 => addrb(3), DPRA4 => addrb(4), DPRA5 => addrb(5), SPO => \NLW_gen_wr_a.gen_word_narrow.mem_reg_192_255_7_7_SPO_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_192_255_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6\: unisim.vcomponents.RAM64M8 generic map( INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000", INIT_E => X"0000000000000000", INIT_F => X"0000000000000000", INIT_G => X"0000000000000000", INIT_H => X"0000000000000000" ) port map ( ADDRA(5 downto 0) => addrb(5 downto 0), ADDRB(5 downto 0) => addrb(5 downto 0), ADDRC(5 downto 0) => addrb(5 downto 0), ADDRD(5 downto 0) => addrb(5 downto 0), ADDRE(5 downto 0) => addrb(5 downto 0), ADDRF(5 downto 0) => addrb(5 downto 0), ADDRG(5 downto 0) => addrb(5 downto 0), ADDRH(5 downto 0) => addra(5 downto 0), DIA => dina(0), DIB => dina(1), DIC => dina(2), DID => dina(3), DIE => dina(4), DIF => dina(5), DIG => dina(6), DIH => '0', DOA => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_0\, DOB => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_1\, DOC => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_2\, DOD => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_3\, DOE => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_4\, DOF => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_5\, DOG => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_n_6\, DOH => \NLW_gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_DOH_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => addra(7), I1 => addra(6), I2 => ena, O => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_i_1_n_0\ ); \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7\: unisim.vcomponents.RAM64X1D generic map( INIT => X"0000000000000000" ) port map ( A0 => addra(0), A1 => addra(1), A2 => addra(2), A3 => addra(3), A4 => addra(4), A5 => addra(5), D => dina(7), DPO => \gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7_n_0\, DPRA0 => addrb(0), DPRA1 => addrb(1), DPRA2 => addrb(2), DPRA3 => addrb(3), DPRA4 => addrb(4), DPRA5 => addrb(5), SPO => \NLW_gen_wr_a.gen_word_narrow.mem_reg_64_127_7_7_SPO_UNCONNECTED\, WCLK => clka, WE => \gen_wr_a.gen_word_narrow.mem_reg_64_127_0_6_i_1_n_0\ ); select_piped_1_reg_pipe_5_reg: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => addrb(6), Q => select_piped_1_reg_pipe_5_reg_n_0, R => '0' ); select_piped_3_reg_pipe_6_reg: unisim.vcomponents.FDRE port map ( C => clkb, CE => enb, D => addrb(7), Q => select_piped_3_reg_pipe_6_reg_n_0, R => '0' ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block Smodsvllcvd6MuPfdHlFmvR8p+Pe7f/pUBu/EPfJ2zZ5ctuddGasm68DT7c1GLZh6gDWLRVWzeFo 7fcCmPmHOg== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block s2mDZJeKjJsKFE8Xp2XRbJCl6T2FNVLRNeAmU/UqqR05MWC75Dr4jE6br+1fqFRpw3qEraDZBccO 2KWWAdJBHQOh1fufTlMCJJJEIWl4RL3bkCRsGDbIquWw0kVLdFyOEx6Lt14PvUyTuHVmV8wLyqrH yrV4YPFXV6ypwrcRjr8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block x+7/agT4n/d9u1QQInxgxce2jZanNSpIonCHAMN9TwcrlJrdb8ZfXZRtPg5W5uDzAYwFlpOMaH7J K0bU2N1bJd5SulzzWFr2xmwWwHkajiQbUTVM/qR72fbwtXA37wmHeH5Tj2maA3ysmVCEOBf+PzRU Skp4HmB39p3hznf7ivb9O+sIfUNHxZBRzkiGh0ybjA8gVC3hy9NdrtQe0RHj+KDnauKeW/7F5h28 Wru9E7eo717pSBIWiXC0+XEYHLyZH8UN1U/iAvPNkpqEn4OvzptabgKAiRn6ijsrWWhVztYbGXt2 qOtTlmttFPVT2ywiD8/sG81mWcXtkBnjurP1Bw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block a+uyg/DogHrar2B20X0VgKpDYxx8u5tU3WA15lXV858Y9HTfE/D5Ryjp0R5g+o4hU/5agZ7PQugj +Mvi/rKN+IHrEnVKSjN5RJGFUfDKEXQdedEiVI1lKvTljh6/DbxkqYVn8yzilcIXSBDhoq5uXOcx Mwmzc2s6rW0NV5Q8EbxCcgTrGYzpifzEoYV0jTlScpaPkDqnEcq5FfdczU1m49BoU+M4J77FaKjN pv9iayEPhHjY2K5BE74HpvcRAZiQ5f6Gm3FLXXd/9cLd2FDmDBtno+HFPjWV03VK9Wa3oqggUaWc 2+IraP0j0iYXzF9j3MybI+65W/eukw9H5L3ICg== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block pIB9TJIKMKujbrZdwkCbRqImY/XmmtgVYJYP8sQJB8aidnWCgifLnFKwPxN8+uM6n92XDeuSl2uf spMy7uFl+uyL+JqlCjJUGfHM+H03Wu2cccoisOYpY+XRV9nieltHFTy8wDgpVV0w3KMf+UV1TZtt 4ztD5z48R4BbG/Ue0sk= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Vn0eykMtydiA29PmAvGfWqzU/OcR9L9ZRcvug6TYIDc7Wxv5/GyVdGrNdRktD0f9KubgBa0urkHZ OVAc1qpm7pKiLBUVlFacwXaioX9Q1FD1SAxilHWB5ltYgZegy2ez2lryio4r3lIYsEXOpFFCfoTj JjvYIAKkVicZbUdPFn9Cw7BgtAyIBox5+wMxN4Woz2ieR6XD0tXW5bIK6OUZiDKv6cMDmQ7o/QLx ki3QAGoSbICwuLgoE01RbtjZTocaCLZT+wrDC/IcJB+d70CbAiRE5s6cmmTsX/12AcCznkVRMaTv CR0SNb0Ps+0ZVYz9aKP8giXb5qLYBT0vftbPPg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Yt83c3DmqkpWc1KPkPbqmHqaLoT3qlzJzC6nkvkkrCh8yH/Ym2KZkrIxp3XDJeaAtDhQXBkh650y O3wUe60ck9zvA8HWGhS5BPgIw9rnangrhcvzCScfI0OfwQ6h5ZsgVFFGvkBnBgniaJ4N2G3Zujop aYKZKOok233c5nuk6znEO/qIaPnWVPy2jruPlSPfu+7OpnFaiOVBJx+VJC4YR2E6xdvjMTM4vPrQ /etKY/AYxfvM028Lxnt9Xc+CVCVOYyV5dT4unPuM89uabGBKMCLWKBA9mKxBmXNUT2MSjOds3Dut JQa6ypo8M2SEm2GGxI67ytaHq3pYFSh7UBopoA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block rWZCM2OLTdFeNt3/3w1nV8cDE8ru50QBdnwQU2vQ/RCdITRg6R67t+HHT+nMg7iJ9FgoAWWbslZP nNrhWQS1A/eoyQsI+cbuwUT7rIPRLBRpJIXKI5TnO0alZwYyePXXbSzmnbSbbxoRhXVgbY4MQ2gT 8KcbIZfsV8RKXGHsAbt8vPQSHgOXcZFD4+w2IU/VGk/KAnGsIVvTUcijNi7Q7vBbI8ceiHiKg55T nv14J6fhUXK2vndlaXvQ7Uoqcxdpu2PDWj9CiInYu5QBGzJWoMPwzfLfxB+Am5azcUDCf8FUy4IO oArsrBt5MXGK/KRLLr4vcSvW+yOxJzfrZPG8Mw== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block SP+xNpp1Ho2r2B0A7yOizrsTj3eBYEq/2auUnNB7Pjs4H7cFrz5pVVFE+c9sc68Oe7YL/0e2v/jK M9zSnmOQjteVTNuriozBDU8b7ZbRl2EIwBoHjxxr3APjuHMe7B00kUieij2E3nkqNJFL0VhqMYz8 1rSTpPERO5jBUCzhjyi1cdOHrQNzt2kVY0SgJDtNz6oN07397z0su0vaN0DNs6qAu5DF5mGIdPdP vD4c7qy0B0wcB0NQPx5Gxr+54OL3AKN3BsuWEOCrY2vztdCtXoep3lXDB3fw1rOXfb0ELNDv2CtF a8UzUmODOsTlTsU5nvL0uTLS58RWaxXYE14rnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 327760) `protect data_block h1SXgh2AScrU7Wourx8nbeF1lEYP9z79abGnVTe+7MIyDK+wgHwvAa7eah1geSjDOT2eitp/gx7K kd31tqZZyHnDztDnadzSvtiaZWayatYb5YibSLttyuzCf+wLJVwF1dylVGUSZubD97HSFXfoAzBq 6WT+hYa2c9u1PKL80V0TNga+Pbm83GjwoffjlYpfCX60WC3Se/xmDwQiLh47raBcYeWvLuHRfg7O WC7DWVwoIr/RqHxrhhxbv0il/qDUsaKVjWLJoIx2k3jYPXbWr3iSTnPptvbeu2Cbk1YVhG3MfBV8 75IIlS8wNDbjBHHfOwYp7cSSV0GslReVwVbSodqs+cmLYQeIRj85NZ8f3wI5wC27buC2m9XPelZh bnvp1oLRm8iZ9mV5j51piGDnHo6AuD1odZzZKCNowVis0Pn/hUCo+GbozvuUL+vwH2Pjtz7Yvq7T bxPch3AgjnnDuY0aYXrgDPQArDUPQgNDjcJGLxNsE5jxzPAa4Pjpx0sMnULIqHm8hjDKzVz40s9b 8ejQ5T4SerakgzgsolDWfw8ScK3VZ0Qgc0gqVvisNMNiF7yyckyHyY/WPJPiJIhs6KAzdkTMd6J1 LavvsmNgu4CD7C1jyLitqoJgQKYTqVqQbqsxJgZbJm1s64nRaQI9/A64mkOe4U7rzWvBhOa2j/4+ KS/lfFOVWNt9HwCt9rS7PWrx9mw7S/gx4b0lLf4ZeJi/ZuEtZ2rRQ/XNhYtQrEvf90BygPhEYaOG 4ja5CaDPaK29TbfR69vd754jlo4fA3XK2HpuhE/4MTY7CLXJjwbA0rUIzWvjSi2D13xuoD7Vaw8O PywQ9efydgmaWo1yJpSGwWKkq1RlVxE/MYqAQGyrahuTkmXVGmNbDqxHZMmyr8Csp71Xs/46MBsi n1rNNOe1fF4PwpZGXRR8qze8A6ep5XrkGvEz8RAYmT+QtLopEd6/NzDyzdrfuDNEjAiDfM5NqJlZ d5Josr8S5WUa9nqYaoKbHRveTIOzhtKbKj0Vq8G8iyEx9BpszFH4+zn349D1IkE87Z53Pk64MHnS nF1CG7zLfC/jebY5XlfShMQ48VIua+mLxNF/ebdgFP+WZdss2nX2K756gmc2g465sAd+xS8XH/jF 8jvc6w8/fFMEIOe0weeKjdwkBxC9FkVxXomgX3Isf7MvVT6g/93GoFFZPlyh1AHh5oOvvakCLmUK ACxQgE/q/fmTjBb0kwUjZl7A0gm8c9bAqNDE+EJUdhgtRXxf2+Hi5OTV460Dj2rp7MbSCPsmv6zv o57RjEtdqumLPVq+cM00L5IYUC96HEfxUMs7L9qk/oSg00c0n+w2rxNDnR+Q1Tlu424tcev1m7hL 5y4J8pBZEl2br+wMmPO76FSNTYDVG8nE+vzxyPVxar6PHuc7kkvVq7df7E+cI34JuL4O8HNhHgqn DD6SFjadV56WpbEXNlE1yvLBE6tkDVaYJLH1TnyDdHF81g6BVHooRP0tT4sbQ+QELU2OZ60YB4Ja WpKTWjVofn/dNkaQNf00UPpY84dL/m3+UYaKxsiI/Va+1AnuNhRK83dICO60SwB1Qw0wu+FLtaIR aIPtwNnOEiN9bQUA4SxyGN/oJQ3HckAoi/v2nVjS1f1jm1eanRCXbDp8qG5CCV9A3BKGWTAQHCvH FGlVLLt+dpeqUxgnwCT8IgqIG9NDW9g0hm6QvlE2dVJfOyEXT7DBzAl+zjWtAMWR3H3MDlLuSgku lCquibMQ9Me1yj+XEXY5y+t2MyBRzMOd9eJEjjqlACLXCkfqQwTxBYMwhVc8uNF4lDz+1oNvO4eZ j49e7/HPlcwgandKO2fQJYaujhQkFpxL+bwzpJCZcm3DeSqLaNczN8aFEv8R12lYIdCdUtheOAMF RauBJY4GvNX/WkxjdkVE00wXasA9beFmLJ9M5ygXcKeqUdIrTRvNJtJL10nOYpmqsY+lQIIUcwQZ ipTC1vHKR2rxICTkvlhl4s9DZkgypLFp1jEu5Zw9v4sRjUjXrR0uNLDism7VKa8VLq9AEbK4u6r1 3bPUFk31gILF0jiqiYyWdMxMDlmABR0Hbnu4e/w7+FvhTgKu7D9MSAetnLEYyN3Rq14aTBJ4Xm4Q 63fZIR13b8zqy7nORJOh/xbKVvRE7Gu707u12hdg19seIskdGbvPUauCKZoGJRd05TN48xuF2b7Z M+2I3QGGXSIJOyR9ubAim6dQnEl+eC5n3P724JXyhE4zQJjeNbl/o78nZ6uy9bMhaUv76kaYmJ1I UApqCxVZg8CXMBJnOC21dTAYpwIyWmSGSVsqHCQPvjBu7q1Qw8eIW23tCgzYHQoP3oy6njeAHHkE AIiLjeNaza4/Xrxr7wtXDP/MTURqF5xYj3JhNjFTl19fMVm1LOFsbca+vtsp0i0vGT6ewAztlgZE n5t3HN/5SJfjhWYkqeKqTKcfhyUvI9H2hOHskLr4IruCVXJ66yD/BigBYoo9/T7ZFdkcSfX8QKb6 DP6PK8JFaISxUXwXXMoSBwPJmvGNO1frIrLLdUiY5VOPZgkMgmiShh3p390EWwwHDNGua5BiLmc8 Ar20GKBqLc9+Jx/nGoPUQsuwK2j0H0gjO+s/oczOLtauJCjem52OpP2aYeBNYnteL7UeerRYNhob E75O1uUD5IAA+jYA5K8+oSx0LTlmK6qcvIPyA7b5Xm1eGgHTMbTSehhtQny6BL0a6+K25FFQrBnD d0SyXpqUlIu2zZSIpQIT0fenmtpZZzuMmG4kSiJxoLrQufsyYCzoRfb59uFbfcu835s1TdEpO1oX q8Xx/eEJRKhLko+/38S+8D/GtpQ8RJcDcl45f0a49Ah/SVboxZsy5E6mBu0AZl2krk0EwFukDQVR EXzKsQYTwjWA2cjHdCcK6RgPvaupW80KDfbkun/2KJfe9cVAu46ilDbC57VYB94/ldUIwjGlN3N7 +NXj7OzLioy3H5W8/jy9yRPdou3VYhyWOYLbqWIM8E0DD4qPmuH9ud/TdsEmw34+D1JBYm8ei+kV FAlgwHGkfTZNKEN8H3ibyzVt09ZDfwkUJ8dNN6LxOKtr6q0lNUFh6ktC0Ro8vgaPDMHx03UxTK2k MmmBSN3pwMAGWaiPsAtETwayLsZmCDlpDYMFEPFjjPBFx/H32r67V+47a4nHtQsQYGTso0QAWWfM tHUvp06srbVanxHqVJd8nw4rqt8Aa9LOwyujQJiIurQRRg6FIsTiXhryQSApvDfgKC4wWcA+R2d9 U81AhwH5yJLzhlDRC7QvQUfaQ9Ueq05zVV1FRCWZDyczwvatjmkqzgog7Eo5pCvpasDZNmZljMjt aRM+qFAKcxJYTMo+ZNmryrEf8UNLaBwVtiUwBMMECUDH7I62308RdQYA4M1UR8Cj+sekApCRDzvS yg1sUcLpzCVnPHC4Uy1EoQUYO1ZNXu14jI16etX548XtlAblNZ4CELr2rIrVdII+SBYycM26uwOo Ej3Ie3RiWbjKF4cwBiQdGd83Y0RrHb1YIoAh58ELMJDFhZFIOWQ7falZamR3Od2uZGSxVm3iaNDF LDjC5JBNUF5Etu1cvEWPkW4R0Xp39YMO4XYI2WpbBGLBUYVwjbtjMlro4XL6I0R7oSqELP3A5IDf oPOl9ODKIbUX078GIilBrE2a+sZc9LT88sZHW8Mb9BctZcIki/uzNffwXYCrbKVZe2PAlRaYOWtO krK/jEYIKTkhiTDGutq6fcn/1k942YBXTTMwIScssFL6cSgRnAAswFS8qvnG6DCwhEHQatGbITzl ct8WQWYBffmYjRP3tfWzCQTzRivzG/7BbTL5e3GnfGk52pueIykA2Qmli0F9ISBvg8mJ16izZ5u5 l23HwUj0pznOcs+yDTiIYphwh3RujEnVSB2WvI4U/7HmZub8AL2nwgS1qqMweHe3ezjz44jHVfgF cy1k1q50ybXjtafuC7Kp4Gj/XgIfpi471H77DlzEhWz94sDH0gjFoPRmwsR21yd0EXISG0hDVzi2 v7sau7kSbK7pE37JP2Wz0E+daS7xsXITNVq1NZ2UncMOI+KOdV8pAEkrHyKx+AnoWueqKX3tL1rf 3EoCs4XfhTXsK3/A/Prlhrx2ZeaCEqiQ30aseCUqGhBYF33jVQOgJZea/LTTpD0gmbez6BBX18NB NwniDDwTv9hjq4SXYiMQ/EwjDNBOY+GO1USxBMlMYh27F+zPAjQvn6V85bw/Ppa88TdLKl2PEZWo AFJoZTiMjJqoNPKmkSvH6WGwJ+oAoXdw/vhOoJsOiOLFTq8YDPN3Xsj/IYo6s0LHXcuiEdT23SwX 29JqPrBoqVqMBX8HbU1hjGXeXeCNKpz/vSS7LHuAL/h3lQlmiDo2r6t7m8eNQ2NYnQ46ec19/ykV QnxcVGbycJ4rXDtJMt8dmbavb6o1t7XM0/ma5FEBKHLfjolRJvGUDTp37IvrPeVoUCwvQztO1/Hr u6lyL88/T50gdt5s3fWmDw2D3TTIShkX2EfnhWopE6EPxgdDXB8q8v3e7Xuf+i+r3C5pRxOVsLul L4rRKyadG1sOn5xuvPxhLyK92D3wQAB8EOX/u7myXI017NCR3ajwm/T8FGEQD1FsPbtvcUTRN3tm v659EbM/kimicnV2vCWH+2DFmjjLSgpy1rdpLGzOOyTE+qTbtncmMloP3E9e6ZxS6uYMb7al7egK ith1bgItf6zc+6zr73fRrxXhWWHlyq1lmEkQlO0OqAwmXNVb6Z/78FCiGslUFfNlLpG01dE+fsGa 2rDpZDYZEnRNLVkhrKOeAwAscYZiSOsrzw2OUsD10IN7p3jdUgPm4FS/KG/xL9yRkahP0ndFiK6X A+Y/YT9O2keGerRxccBvbvIX26O5rnnbkz95AnQU36vUo9+vuk1CYev6IqhOyr3hG4djaKY/a3cM rpXcq41jPmZTdbBWmT3UlzNZZOquEqiA3HDRJ4CYyDQQ12biHx8IgabvpIR4sfYz/nm1slq3RqD0 GVr2iGVtPRyIvbhr4F7lPxIxXonJD/s/5C80WfUO42VnR5ktBukHhMABK+alCxTPkSSLa/ZgyfhI H+a1up3s92h3DF1oRBHfL8SUb9XoglrgL+/iivh5Wk7ZsD1UjnGU7jmtN9na9MCUBuirS527pn6w XK1Crch0GqnXAeFmfFWXMmAgWKpDCDJY7kML8daxJjwEjCDk3/Qkio6By1uiJIRHcBM1pHF6nYY2 wUXH/sakJY+XEXNjWE6rwelxkCGVBzKGD+XmQ1OVMHlRiG7TQNA1km4oubzu2/xNVhjawH2sLta7 fnfb4ngHtAncPsmuOFSfIx/gnFxfBmCVuO3lRc3D6aJmK9GIF0AZ2p2cEy8SIgwrKuZaVpPPxFi/ vcDQUyDjk81nsYc74x9uMuug7Ntg/OwJfJufhZ9SshuS6xPtjhmdqaD63b822P4ob13QLiRW0W3D eVSbmiTwoHTu7B9jdVWCThinKDBWPv9REprGAlTKNHbAvdJANJhF1+w2PRitwoLYNsEEh8Ju8Qae Dyyg8ZlN32zOZyuKKyagoB0Ma12gkJT7S+PccLMQKcOLwXn7ZfkB06aBlmkYpW32c/h7rN98cmID XsMLqN5oBDr1iQY7DUJZM385lT5EIF0/7Tp0OwunIL0chZaCfYLxw8OQo6yhmQY/lwrgPYpBL45a UmpdUfWdUkGXMe/AHK1hnCxd1VWm+UHPfLcR+YZ99WsNWEn2fFfMoML9fTMyVc9sM49VG2dD4CWt zIrDgJsVxDNcb25E71C56egg0HGJW1mS2LBdzbiXAF8A598ZFqErzRpbY9eeWf9AHloFOJBJYFqz K8uF863W5hgSIFwZm+Z1MzwQtYFFsZDOnquFn7oB1Lo9nY1lW3nd+AAXYjB1ooFTn2xFTXg5VZan SL6s0rioVh5XdFcE1CG2uTfcMSrF2BAZy/plJTjpBBVCZEOnrghxXGzu3hjI+KrocexV8T3r8MSv V6ChZj41CY9fW7QydN37jEm3917De+QffH2O5eosuaWbMlBq2owMXx9pF5E6hmZhrSOue1HJcz26 x6xaLwdjYgYy5XyGcXWoBUSuzunWEKdxlXrFHO3OdbBLot8BL3V4T2KTmKsHrWPj66h4+HtGG6xX 3xzvePFAtPb57F8Nh7qT5Hh20LICb9jhg6q7y02ez3c30Gh3uvQa6crovI/gI1iSnOue8Vj8cTb5 FJmp6mOJyg6lOn6wNfu4msUnEvrzZfE7D5SYb/C3pkq0cxUx4HVAQPx6h5BN69QDEH800ygwT/qd 9412tiHxBWDfA/f9NohxnHiSnFGBjTh4DOLdObuS0EBA3uuzxOgx2T9RPD0f+LAJOHbFma1NZlmm mOIevxpk22wdAHyfja2eJ/eXqFcsf8xu3hPqfiAfG4xvEYz/3y5Ss2raNSpf+4+9uK9DQTqv27Cp X0zMjgQsxAOcBC9kZSCJIm5r4BQdWbeKaMPetlk0l1rzM+6ho68EVRy+VdiNBj06FfzvcVjiIh3w EPWlR7vzWdE8QmV7suvJ8xftH3Tz9IkLS7UcIipgxo9egVJHEzSBN/qqVbUouaDGKX2+DzcMTP2j z1hNNgIL18OFLTitGZMkRKGSnN2PDlHgG1+hT7zxlO2fFhdFl8o6uWYJ30M+/q7SJmBA24j8mGiY DDcBPzFaUWE0i1CpxTTy/81Rig7lqIMK+6/e1S5+JEdzJmKcfvH7MlMWjTm4taLa+9dSQSB2svLN rb5VeYrSvTeMzPhimNo/DvfTsJcWwIyYP7GpE9eZ+gSjkK036Vi6FaBNW4MGlF3pYSRvBusHOAMG J9lCu1rHrS37+0/n4CWbpH68qlPVPdWJ5Ob1DuGtydaAO0OnJTsWpffBDI9JgW6faKSClGV2xV5A H++ZBbff/mrUUJAh7TW8n9KRE67x6WHmuyKSFpY7E2qwRHks7l3hXwOtWAw+WEiY6EBJJAAk9k91 PrGsnSjGZvBJe2RTXAsq0/5Qzg9fjQzmfqKr25qiGs2GM98IB7Mr97gw57BD1JGVuRL0u2AzEKXU tsDFjfG1fKDHbIHu6pFmTwQBKRHxPEsHC5GH9GYx/s0B2IRjwHNRxOhqU0rgaAjua1KptPNkQc6m YiI9ztsZJiRNrv8hBnFH1tBosXTgObhwPICoINrEflOcwcLy1dC6g0cumQwvqADw3w7ivTHt1EbZ FhfCKcpfG7xTHbZJSz55h+6q0qQYFLoK5ICCTAVg7AOd2I9XbRbRo2Vr+6F6Wey3nOaBB6PPwdPS eISydRrPP2WLSvIFi4zLSdULGDhofmWlj2Mt0OcKWcEi6YfQSEN7ngwnVu7SuyrvK2P67fn2N5H2 vvJHkNMqPh4ujW3DyKaxSw5MLWUMdgdriKY8uuxtW40Y43Of97oeyBs+xQg8AzVfjnwYdZWZv3DY 3E9Qokw/AWrMER8I5JYir3LINp1kGPJEQl71nuiLmfYBJe5eW+a9r8+JHLAa0cahDuPCfi6Qf1Qj aCkhN8LV3DDoAZ7fIdXxckwwLW+oH6QpyFer4LAF9DyiIjrh3Z86hLxFGF475Ktm3VE5DZSjM1TJ Kj4SK1WmykglzMcLpXBsm1yFo9S8li1GlnUSkJQqdlrl4DFzTaLM7Saj5mSUFgYCUDM2TB7oQDR/ hkSpk3iVWOLvRBwooJPL1mzaZkZz73hSGMf8OI15XwV0F6D9cA198Lwz1Fowwh6almjZ/UQT0EUh jXMW0Lt1A3hdr75Y8OIlE002TFYfwdxLA0ELTclxhljINrEG0szMAoEdsFAMrgcnOJ0uP54bwF8H oiSC8VkA9LZGGwc9saZHkYsP7lBk024puJmh16Bxw3nFFPbc1RooHCMz7Dh02QElvLFf4d81cAny x1pYKtpvh1pyKVP4paLJV0tBn0jxHSgalaWysXSOszb7mOU5HDpxUn7lvkbP5xYGk/ppupEABASs KTTJ9aSydp4Nv/I6NJB8zEBXgbzkwOnLxVvrjw69WI3K+I8lKhRw4T2opc5GTD8qrE0VSjt4BTzJ LOgx4x1gc40OWdTdTiROip95WIOmpmBx4XvtkhMGiMoDLxcSe4FWmv6m89AdTJvl0hBWI4J6npa4 r3WyDyOeT7nlxxuABDl7pjWukn5dDrJDaspaCAVuX5YppcZlpQvyFFCM3VYsaZX1jCsOoq4Ea/9F nyZ48SP+WF1DdlCpR7AFiBhWJ2uaMBdAfuw3H1d7rN463cx1De5/HUrQrrVgnUn/0A21tn4yMDdH RaxFAmLsNtKAwo3y8f9OWoGGXcfzdbqkZkN1lRb89my17L7wwjZqs3gm5Z/5jn8j1PtXbthkHt8G zPDjUDynuHLkXu7QyvClSbsTN3sQK3V7gyCLAzcMeEs9lumNBpNJZRH6lLZ4PLxPEBcgbFYq7gcU d6AXXyLtFgQ+DN6LRrnGw3LmD6FluXq7Rcpcne9RU1v6atwJNXVkQgrnoxD3IbiK/KriDOJRi/db tZ41QLfrvDFIDkbkuK9siqipaGdJm9uQ7cz/f061SsOPidPRW7IB9rd2o4YwyI3tOJcDfsJ62heu VAdSFk+Ic+3sG33QSn7K03vryA3bD3pZebdV/gcqL1+0QceziPEf9BWm4z17DADxGo9xl/cohWEL Bzt/quocTzLLkSN9nu/62cBhD7DROW1uvt1gwEhXm/biNCJrKIm1h97XpuQjTCaJJSc2FNn/uV+6 XRrxPCRILxNW4EM3H/W37r40ROPprbP+Z7sEfV0r7n0Bbu1W4cq5EpDat28gT0Lbg5iqaP6v0znY BRJPvZfufK3BGSgjHETTqZdfxO0SEMzbtsDmyu6Bdr5hDgf0NUd0pQ3k9t3cSqx/I21GAZ4nnMcP tER2WCIFgQ/kDx2UF3d9QaMitY0Jkk9WDcMsvI6ZAt2h1sBKdLGsWM5D6lcadCI5DMBSd0HypoR2 UyEYRfVJkPWqr9dzDogrlDC2BhCkj/0+jUb06bda5wrYB8xcH45DtWM9hbs3wpj/J9m+82C0eQvj 1bSNuvp4eEHVGHDjDlCRIw/XQjmoOU4ZzTvG6RINXfjZlJmYea+xNKQ8VoloDq+kmZl3ArqFGksD kgJT2qiiXxLxL7tgPoYY3hWc3URwPyMH6ycTAotNzg/Jb5Bf11zoaX/OzrJEQbTzQ25S7ogaOAAD GSlP0BXWQ+weiEDx9BVUudF/PWsmMhmwaoRvMPOxVuTPVt6PKPD0BZJXT8+dzNHu3+wuzD/Jx3RF Xvm3Z5DeU+FrIAA3QFw1LtBDtA097h2yP5E3Z3bJr3hpEM2eHLRQ1pDuAAlc/8MwJ+f2gQAtEC/+ CrSIi639JLq6IioAMVHJN0bbEURWS6Bto6D/vniXUKSimI+NOsp7fC00ElSqbKqWPUhXoKEuUPwF GLTZMS7ikMBKjKr7v83sQEkvCwDv2cG1E9IXhV3ojrOVCubIvdd1CkXk4k5LLy9N4e+FdUu3EFY5 oU2NYp1+GH5OrTtpnZZX4T369milaXKELt3GM1HsAfKSvEWCNwBefHXo2eN+tZsqqifghxpSxkT4 AOlxqUvwV6bt0tCJy6BSiEZ+Ob+ZgvoaFS28gPMp0b7P8PxLsWCosiN5MPfFcEKcs9+BHD11a05U rZLBxywuil8ymbOuXmS66aMGiWSsBd1YcrEF1COSVn+mF8DJeDZ7Qvae5jbdzZiPjL5cTOdfs8hr eA2JFwYG1JFCt+pIwF4M2Qt1huxclEo1rGbp4kgoMJwiv4E7mNG5BeZ0dWVfl/LHrtFMEJ56DGU/ YtmAfVRrzoQmleHxKyDwJCVLMW02xbmA3BjCrL6H4scRw9Cdr/R+hie9Ra3IpR2jmFYh3LEM/DDc 7pja7pObfo2vBTm/fojFQzBdPhzdYfXDeBBO+O/GUnqxClIvgdAGbbUzuwoXH6SmG8JF671Aoo0R W9qUgD+GsxBwu3U8sWhbvn7s5ttNnp6Jpa1rIbGnus63KpLNXw7+oSZRRQiqfqrGIGcSNmw2gaHF SMccOt4saWjwJU1Qge61I8RnnsJWFgeZ7JWtVUk1jY7UjGjQpTY9Wv879pwP9KdrftgqvfUiJrtZ uQJKKpLOLcLP2mPEa0d4TKHkA+IluUUwL++v0dSRa7Accc91JGSYdJP9J9SfcNCOwZDy7xScbRB4 T/I4pf8RgVX7nhluQwvgm/iBX5biDICzY6bScVpn6yytzxRDTVuYO9Ynfg0pOjXbAmaeXVGrPJj3 fkyitKND43Kx+L9XXXZMJLaizxDxptHs74bK+bpd3q1wFRAkQI7Q+pdrXbV1oEa6QY/58y/TZG+I tBVUI8jODWTcEcE1BitfsJDCLnwOrD5NzrQZ6p12JqXEBzTELwTVDyE2D59Wus9MLGOVWK8bwla9 hrQeTeoho5A29qcsxD1a8PdKsJ9tDH8cBfF3OL0JRAFxGDCkaZfICNzg0K4FX30PynFuYTqjzEgu /w3svYUyYRAWxxFObhfLkIy8BNoa8uRdpdaw6ZL31xIxTJ+t4UYW4riZqWio1jn+wYtUoEBFOWyJ Dk706/YuLJ1Is5XU81C2K9evwEk0lBCIkkPuPicl2jaRjUmdgA2vjQFc8BhreGfN4E1hOhsq35SB qEXhkBKSyn83uMFlcBorThlmkuHU0F483Y+YzJOdYOGxFRZmlS284UjuWvhJjwAHGHx1OPWEJ4wV CaJAbEdvRZuYEm5BHeoO0cEE0fDU1oxqCVLf3WwF6qDGMRgGeRfQuCqZb7ls6H9j+V/Z+KqKASJB wIZp45mWKy/Xz+8mekltOjdQU7SxY5Q0MNcjovCsUQIEYQJW35O8iBxYoMMllAb/rEf0F2njq5sv 4k4fU6wLiFjPlVjdToFIYlzSpQzrjfRxOurbEH5f52J8URq8VTtEJYMBdlHOl4pBcUbz5wXX3a1l 9HvwAZCbNvNo7AlwDLJuTKY4TyBgicTtuLnDDV++D5EMYJwh8RkLZiMD2p6lR17iisEbrqss5P3o 8xEfrroXPpyTA9iDIyk04pRTu81z/L116nRs5N4e+dszui/PLIhAtWn4M7vXzRLrM5D6rtEPIuT9 udxSHHYGPc1GUJZMbHEzLFSz9XyMPUH5/ofUQDE98bPpnxQ93opbBlTN733KBBVx3poaTT5JaUnS uirvADDj9G/HTTMz3s2SlcUuXGR7ekYT3K0mXxks3oE9a62OsJaXR/FaZbyreqGOrodOqVSgLJGl rsOcSlZNd5Av9zKHFLqP1vH8xPun1SX3AcwokdPahg8xfNppHvfa0LPuSwgOBpHIX3QT8NRirg3z G/nQ2iiARxrVDAhqhgU8ts2+ct9na9fhyM6fcS2sIAUqPggDDjN7wI59H/HLdZzpS2rV7UisWlXV 7S5PKIjvCZzRTn7RopAV9TaK6JBnFGj48oSwPB/t+W6OjwehC350qbZtub4ypUQeyg+DSEunv+kR hiCo0frZzImjHm041M7zWGomYbf7tixh8GCIwxFS/YEZvF3Mt0rgcKHJH4QSiBJKwc5jvHozbHvB UJehgBlboBBJcZZ0KngZrKV3WaOOG1/2Wmu1lmonpEIi4U7ywe9femWbm17aLaAowkop5NrrSOF/ UI94CThRWLhHvQ6MZvjZScBXve1PN1kCQZejxwmKuIoTIS50wsQRqN+cKB//13EMO3Hr0Sx+YkKU ZwxA5HXBu/tUptM7fETuG+6Be+W9gt27fUeUxsWI+g/uYRXfvfuwh0byQ7RAxVm3j+yrw2ApZd2v u14WzZDJzzj2scq3MZcMIpJbuCD5LP6zHZCKX3GWhd3KGHzZR91WFwpGaMp6Hwc12P0owIanvlYk mtgUL8BtNyn3ogvEAaySXcaGRSzqZRJeu3aow5PLpvuJnEPvkBg8eP/1+ucz48UuEo/EfWZMXWEW Kwfj+JiitCyx41N/IUPf0vfxUYLQ0MVdn/fZBVbgvrUxwJlskd4y7APipS/rt0YjUni2SifZG8Hx WHP7yQy1WW2LA9njqcLBJjStrPNYwQ/ow50ReRdqO6fczSHsFUzTIeI7rY0UnLf20KyMhJ/z+sMw g3tFW5QCQSRrEg0SYs9lLtHILYhGDEYAuIzMoTpJou4A7BFeZx88eBtLAzWeGY7LPLMRoMvzVYtF OBfFNFRvR7EoqNidnrBu51o4LreIsGtO8TKbdIRCJSRMxTkvg4OJUc00cCgtWBluHD1tLjn1cVDT Ibf0cgmBdFCu+i+5Ha+k8M1+fU25VEQHb1LkzQ4vPj+M+iI/4+WHEqVL8mBQngSKk9X1pqHKdw2E 1GQMO0ctGI0wG0nAp8MSkuzRgB57Xy2mYiCEAcrt44ahjInJFArOCEtpUINYfjs6qCTR3kcLQu8E phnyRLEKL4bR1oBh2MPWcbs+3NKtoWXPrbn4595fbmbiRcf+JgDzknhZxFutNaW22mion8x+dh+P /xulVmas30yLM6uaWm4xsCuD+heCuDpbGGgnNgSmdZoIxuwN1Sc0lGBeou44SmrhnXTnFs0zFQQh L8B66Fq5d/yV+6J0AFJq960N29cFO2ZRKjZaKwL1n42XKAN8vvp9tRCP5chhpd/EeIdNnbhkfT0Z QY7cIeqAGaaAUkBcC7QrZy0Iu/17kBAyTSUuZjKV4Vac/UeWCYfQHaB5okeESQ/JFFfeyTanFqzM PZoeQEhMbC6w7ehor7sSO0wNpunv4bss2y4oJv1/ON4ILm/r4ysHk6KEn08Q1SkfVcmB4jc6LAq1 hR/UzXwWAkwXy7+VzdmbkNdBM9cwT1R83RJcwyUJtH+TG+YMExwB7z3finhazEvmT7sSne+BsYjk qPD8/FMq31X2EHE8fRY+55FkZAgLezffgURt8ixd0GiwTsdwxaAmRKAGwdUa6wc/NEwc8aXJFn/q +lQ+ZBrHl1J6Sa3NI9fiIcDZ5ZfwS4Bjn30OOH5HkURT4mE8vddAcouYJKwOtU7/XYJ4bnb+DTuF Iok1ADrkfPSZH0i1wCUXAFieBYXwhGE8La9bbe5KfQYyhTe5EGHNIHZEK2TtIJiUEgFzEyYIAfLL ejo6mSipe74FHDb11ZkAZtjoO4T5B5IA45tRbthT3jeB4Gd2LDZo0UxzOE82mIq8QM32PWysVGy9 xmiNj4yMuqiKailUsm/JDQKtKImCdIyQF7xI4DF1ESe2CdcYusbaE5arRX9S1yU7iDHtz/EQ30mW N4vR9Gi2WqnurgoR2FncA8Yb182jCfTHsIxtOb7PFQtiN+SmL1TDBgEC+XCGMwEsMlkznD3k2jJH K40ylL7h8RnynLNoKMrWDAqT3kx1KXvqy5XHHweesiHey+A440kxP4AuwqNITBBgfjuMcCbxScfZ S6Na8nYJqJuCai95PH2cLMWOt4GyBQJUcSgCf7f8Fi49Tvw8Kg5JJMzijai5RHFsDD7tW4xfDDlB YoxN92J0mJ4cBkQc/ysXV7i36M/YHb4p8zKYWhrBrJAQnqIt0YFE4ikPXP+nHB1NPUsAfAzgspjN CtgxiyoF4NNMkAxA/5TjD+msYaRibZXT0Jeza6E6Kl9scKwFOdEOIkuYdaKvxUv2ESYR/MOfe5E+ ash/xh326WVldmXYAUVh/9q6stGVGYGUjQtwC4TUxa6lnF21aLpb6bCXKCPNgc366aK1ZV7ehLhq UsTZZdFjMIlpTNcQUMy5NCiRrc2LaAy3dZoAjzCXLEtIFG2AqjU26dGNslNzei4ojQkOe1YE6Rrr K6Kzf72Wcx3AVQbEdCjOjiiGtAmB2S97Tg0j4x8uqLkvWlI/sKLvSO1LGnevCCnO3YHajgICAu5x w4xAVrFNIUNdm1EEcdoqpOcFK+Gluk9AHsHrw2Vm58ZUyYs/ImhtkgknOafpn+HztjKd9dksT+x+ Wigbl7uwxl8l0urvMuL3OOLtxdk1xt4flII/58D4UvrfCnGjkHHIJT805rOgrAn6LhEVSkMiO4IN xxtvCnzAODcq+HVHvz85a4nCELY3XRYzUHUMvY618y5IFnLGHhAg+PzSHFG3OCn7Z0kodzs5KX0i nylCC2wR0NFp0RYc4H+91fAvgnprwCzQX4J9nlLtJI9YovWrrhnrvGpm0GBlMS8XY85hO17pmwac MwYsLIaX4TYNFcFc0BULe07mta0sCKlWFhEE6aSdrfcTxDtSwLUDliMKePxHIVnx3GaYhRQmI71k bfnmQrDis58Rixa1qqi8NYZpOFjNSx4qCkpQXYaHh1IjSm2YxVro+uzSrXA4E/bysPo7L7CN9L15 xK6dVzoUJk9Tp++8E/z+D4xEqKzxfiI+8ta0xV8u/eq8E7TX97z29tnDk/3Hn2SWwM3aXFe1rOh3 STM0/fNZru9zLMXA0X1LqverVPpPPt+skWuoWHiZ319VmZ1a6nh9UwvTCV1acjP1wPcEACEj/yTA PltHgP2TKBY+ayFizEW0PyJpgR9qUoGsBB1TlegeeMdXYw89ePt0IaMDgDalklogf+Mv/wg5ZHQS C64XbCbOn/qr3ZEW9xhl+AwTEjPXATejflqUn8KXUtlq6cUkf5MqodbjcMfl0lm6zlxBkKyz4H2q 46wYVVhj3Ds2XmYEuKaXFPD4gwOBICRcarodFAPnhI4GcKvBENba9jIoarxSfpUZwazoTZyNT9d2 CA2/eTUD+sq2CmbhelXL+rArp/mEWLu0aXPDrlaLFpX7iDhX8o3HYU2QW75ZUp3V3P+NYj3n/qvp wc2Q/Fqyvpmrd/ti/FOP3cbXw+Nr1dTFffJNtiUUUT5hNV/daAZ4MEIlYshL0S2N/QpohAgJfPr2 UGLEmzsyEAQhvS3Dr4fWMHg4G7F60cos204Bfs2QJJNMpQpTFhWMbx42TqgsrNWdiNu5g48gWlRV 1Hf2dVCJyT5XycQYv9J4lNDrgW1E/WzkS1xSaTcqqwCaHuMn1A+2L0Ogzks89H7mTAB9M5fRW/+G Q8gQG6hnnSQfLvCAmK0iik5luXkbdhK9UWzpH+v0LyUc/M8mvY33jJe9TuIqmCvlz+TJtAVHjQ/l 1H1JitqPya2UYpMTKBQVbjy7D+04W+GzQ3io1ldgpYLx/GpYPKWBQGw+x/9drfuzNp4X7NMNUH5R //+52V2zoz2JF7NMysLcCenA1b0r4/s7xidbG/copLkQSAyPFN3g9K0Fpf4t4TUuKMw0zoj+39zs 8qR0Vp6jmLYtFQyVGMnS201rLHCMbblayaZeomimH6XYjLbGrk7j907OFUEo9X/Wzz2aZSf3sfj1 pC16i6xUo6YRmLjZEHawlCXT7fA5ElmiWbOoLD0pQxG/24f3WqK2flpRGC4haDN+hrmc7YsOWLdC 57kdnX2zNLqzyvmz4JQQYBohCkPMU3Cqb28PJuyFAtbkUXU0H34u5TXSDb3o0WXDcKK7Yxvs70DS 3dw+jXmCSAohLmBKDnj020Dsk4bUofO27xbzwdo/59kSCMvzZtclT0+JoltJ0KhVCPZ18lGpldWK zNeKvqILibxJGLFiC7aDlrIK3Tm2m6iLvPbu9YSM+lYinDWBrvaMT/Ew8J/ofSCDZeES5LmgydDc yMpRLajE+u33rzisQuPuTiLfxKpI4hLc7fPMLDMchsTVy9d31gAbUqroB4vJ70COYL11q1cczP4w xb+Xc89ZFVH4JTPaJavdzTn0QjvrOsY7rqGCttry3dYUfaDHcpt5q/HD+qvGBZ0M1Kx6HWILkI4e 8SXmSZYDy/YRUUzAOgIP6BfZQ/WAGGOil/myxRo3rLPi+E/M1eEG8v4931M8r7nsbJBuTLbGmKt7 /xF3d2tTP+kG3dBPMxVct7AkT9LxZZeZPWBG+v/Z6kK1MQjmMU9qlNMEnFfv/rsG/8b9ddUWwJyp Iwc6Jg7v9Ntg769JE7h52cibId6ku7m+ortnQDGuVCLFYVQCKyLApbGETNiJjsx1NjtRUgFAaIi0 lh00Qhzue+szquEQHEisQcWa9BsqsN7S9fM9PbNqP/aQC7OOyO9cHYHtF8IVQj4myeXnXwZ7nrAS L1izDPDORlP7sC4nH1fUd26u4Y8uJDqjVqRTWRwUKvdAVtvdzdMICBFh3bQjM/2Qn/5iWNc2bFjH InnMTkMnxPQ/2mZnVTU1vQkjP8UqrkhKIewRwQIMPHoU+/h3ClFVOdtfRv/4aj3Wd2LHBFx0gtq7 gurABy3dMOw86TOdSwcew1UrGQvnzOqlHg8jwMdotbPr4lldJQ2KkR8fXDKiIcG8Q+RNINsswVee Vu3A5Mku/Zfiea0DR5c93ggoPpqOU1UGftSYZEzp8th9+BalRBxiBbBkwNj30ARZVHoS2O5CvCoS Ewlsr4iteLiL6fEJLb3aYqHvv2de0cBJlkamH4w5pDQn9KBHIjt7qHYQ1PuGKE7O/+m6ZHtM9u3d hG5wYFW52/Xt0yUJ3H+4v489QQkjwm3RsS2y7/o61KBsbKqygHGHMK80FcLGqxSZSXbG0AVYAW7P vXF750mdrum/8KPW1rQqTRZ2YJlc2wKYWvsuQ19mopuH5OFXJpVuYcwfznq6Cs0uPpfDEIgbqTHE 1UmogVClQEbEFumaUVQ3C+KR3pifVMUwFYC4gyJ8j3XUbvjm1L5jV5g6Lru1RoORmNZ6ErEUYuhS NFocipgu1xfXlww+0ZFFaGCyxYp5rzGzsVfPLeL0XN43sFlhSBXn0AjsIqBcNefbCOpk2v4/1hKy NBlSHq3vuzDSzliwWkuui3sgYVqcgOTuQASWeuWAz1VshfR/8uU3tjjtaM83hanqxlUEJSMwZ5x8 HMuQtREvGEjlAG7YXj3Hy0RVTMdhRbsWEGmjzQ3uOFMo2vHOmb9AsloNoYdcDFhqLqA8dFWglrGW sKf5vnqSxfOjV78YnrKh56nEPQHaiXlM6IZKmwRoMCYeSF1FrltXu21PSVjVwZsyMNuI47Iztkep 9uMfH5tumSPComFUenWD0imFyk1jYUOqi1lmImlBiZ3Qaqbp6Kaxi6X59x8NHsFXclcGcEyUo7aA WwVB+LfG3vpZIFzGCts6Ei3QOnR8llHR0JIo5msRwt5YULhlI4/MaVisVAjwan4hx1bDC97O4wYZ OQVJLQqTa2heSpEtiWF/T22UYjPvGAMQrs9w0qoJrgdGqOFybMcNnIMspI7Uap0n5oG5lXVrnuIZ LFpwk1bcWDHDqdLAzGFLFR0/UwnN3Z+0n71x8sUIZCj35gFub2bg5Z5q3CvMtjDhd+OYVuRL8nn5 MUzBnTC8mirV7qHEflXWySIzj4DINDri7hFYvlZnDgV9f7HZPi/Ky6ama45ZrE79wd2oN2Rz2jZW LOSUBUk7BqgDcAuP1Rieo0Dyyh7fxIxU5IcWSCZlWMvGZ5nOWCCi4U1lrPYv7KPobyGBQobzgqrA yhv2YA6i6OeAkdD4QOmGgLxUgnQ4DYxrawa3DPEbvcUUWtQynfrbdpEjeEj17+/wORJgIoVP1xD7 eP6oC0pSPNFJr9nKMCbcP1U1IISLtdpFG0yIqiSQjf//ONYg1j7cCtCY6iP29iwL0a2kpn6sMxQ4 Fs1aqOp1KHag0llDT1kmheCPD5EQ6hqci9szG71kJxfVnIUdWfD+simD1+RXTdalmfD4jsGEDJRS XUCYvn5YgQZIJAhrDJU3KKAa6f+tTHTH5Gg9SqlQV/bYoJXhr0KOByQoQrvhPr4ga4xZ2u6CoBiH oRQZCx23/iURK7pYO6ZYAqqJQ+yPcj/5ZC/xrGaKXa5EadNs4UJGQeLqyWiwJqLrhBBCjyCZAFJb VyTOPQZkg6EUkK5aGQtfkVXlvg5x9+pX1THp5FU+nQU8rGtqdW1dCSB2fTcvhoBxUKG1Xf3lAXox 8l4gX559retH3g9ffyGj0nsvCPm148/fjcUlvFkHgIRi+wnLNCyRwGk7XFvnb5/jU+rtGO7C0/uI QhFxqtT6qmprkmps/zh21cB2oRiph97Vy2jqPQizlXsIdeuBVKoS70qPnP6f3Bksc3gHjx07n1vM E6lOLa5oQGV0AQcH/EiqsFXzxR/Cf60o9CgRUiR3Xj73PxbTcpfuYDvitfTznh+Fcjl3zysXk1vv P97eqGD+P/CTvk82DUc95roU/hKRxxMhTd54BuSgrc03qM0kgMyL4uEkehQbeAYSD62EbVhjagV3 wEFY52tBeRWoRCiDJsXpEPhxfkjomaBe1RJCQzCUonA9/MM1+d9KjSHBcwBNagSbrt0Aifxul3do +0YQrOcKVj95alKfJOCRlON6vumb+WPNypkHHPtcb4C8ayDjl3cv3ImWovS42f0TUDcL7WI9+Z9F 96VPXbug7LujAYZoEeIa60tUl/viNjSMf0lteC/Sg9xI+YG1i14QQwwdt5mfHQGNXhm08wk51SqS 0kVpdzuTPeoHQ0nlHZSp5DAef8zID6VPhzANCuvysMBBwmRGar6rzP19hzI+de/1sJpiJbcs0chJ JKin/T3TOE2f2fnEAg4tTgg07oQr44qMtAcOaA5rqe7lxpFX9jXTjNmi0DzZGS7IRoww8vPg8WfP 2m6UHED3FN5Xmcz268AMiG/oCKYf4g0slq/Hu/QrocIXALeY04KDpk4/u/ud/dX9h2KKbO/nseSm 2EjDRW6AS68IQqpg3wY/0PHutgIXJ11bFGwHu1kVL2zsgXL/zf8cMUUwjadoOP76bS8Rina3fsLk BG8r1A6NBvftIbK5+OKGWh8OT2X0WoIxoV8Vy8r4VRmoZCz+yTq9Cz7gD/BjKynBJlOwB0i5rGQU sAZDXVbhrgreHHveNQE4STrQzs/E538U5WkrXxuAANq9Z9exagB1TmG7iP3dnceesUULPpSefaMR MD+0gqhCEy/aorw6rVB4i+bGepCFhVHNMgKTITW5xPHJQ9+3xZ6SNkiHNtIkYAqKiMfqk0RbELyY uirgey/xhwKkl0keC0FwYKvK4sHEGZvKSjRqTrbHqNdRzXI89KYcdl5roHDvm1oXXl0bRRaKUV/q okLp4uU3hu0Y2uRKzFaaa5LmGqdpKRlw3jC1Nvjt+qnYYnLvO5aZDJMk55gRlhzfg9oJ7+lyGws8 1L1cHJiIQukdB/tb9a9JbHWI1GhwlG+KQ0jFZ026nV7UoSbpk0kHl9fDYKzVQ1yPvzeMLjhhmiSw zsM8THjTfl/AEtW+g+yRiVbSUMTn5HhirOLvn8vmBg+ibNfwyDJSfhoCHxMru2CtFyk0dwW47Kjz lVg/ICUWi2OnTa3IqhZaWC1GOjxqvIyEYiAISEkBH+xmIDRVSL9snaX0Tn0NegtCKRA3b4r961Gj zWzNsv5HdEJp5zFLcjQWyQMzwVmVzhktbb4GcP4MN5/sx5mt8OQQi/RZ8Mu6ICXSxphFFdvELyPq 3BVluvMEfs4DpZOZVhB7FRDwJumjSFLgT3MtN7mTLbSgZzxxkC2hp2zncV+x4cIp0Z+PYnkJqVsJ A3WmnkQL6VeKNicjZasoI4V0xyLeZ7xfIpbidn8xQDmTSTp/7RuPy2uOla0a50KUu4EXYmcLf0PK HkRmRbQkrbWqinRKJXRM1I4zFXUicXWUgoGPEbS+sJfMIjatJk3yH4qHc0+E8+SxBUxiWu4stKfZ ZI5OS2/OGd4jzVAAm6n7sEmhkO0dEsR0sbERkj3QGizlFcqkmEVnzl2Q1hzKA74AHP6/nnCityJ1 DwgubrqVRIBEUDUucx3ot+Hu/LVcmfjywX0K4RgMSadqNTzTAw3zFNYTR7CcpeLluMBIpi0F3CBW fnaPx0weGeL3TEbwf15K4d/cs+mK7AYNmNHwX6LfK0E0IevqfQBdeCSEKxztQ4tBHX65xU1Ep2c0 YBFOt2uFv9P05ROk2WqB8UZj39RYK37dW5EohF4e+VZnEBj0lWXwTEnPZ5HwCu/ssifikOJSGPnV g4VIgZKWWq+0ZF+YyfncgPtl83q0GSW/Vi6LFCoX5tOdJjgFvSUGFNVCdkno7em2YXyOqZEIpyZ5 cLRWMfkARaKMKMrexFBygoJQh5JR1mr7wJDBAd2nETd+cXU8jgJ2SMPdjYgP4iKipM2JwwEbcg2J 2GgXYgdPjjF7sZ0t5FnsJWBmacJicutRmFAxN4VAink3IVdeYpqCswKpJw4J5sSsYACGdHjkij90 WcUHABxcSRFCx/XEONHGqrTlvxB1TdGGG1FhiB8rey76LcHgrguuzhSphgSAsIKd1YYLvtBNWfkb VB9cKCrKdg9ZyYOVB2hZsfmhzVx8beBBE5FCu0uOZqW8glx/lWnX4ydDQLC/KvDbs2TDcqwIpyAT zvoXv/ChZZE1zwiKEkhM2vWL70bl79xJPYkUmUZiw6TvZdQMAkSvT62i7Ze+gcZ92+/t/pYoCScH ef/hrdgatrpnX0yLRn7CeCai3LXsNUU8VuckEbJkpCreRuf4RV7gnzzeLmHduuy0v8zoCnhoxMCe merznM1YGPL9wy9uyZRyPx4bHvWBw+ZRzrwHD2X8sOKAzg4VKgQYr+bfWXFbqkNMUoPvIeB2l2Db Dz/bV2A+JqUOGadefKcKly2+O86nJFszDFAVLv7wTRFRJWx0Xha5o3305RNeubPIqVWMJxfghGBk 1GVpunwp/w5MV14/xQoditg4aVK1yIBaayZh/VsjFESX3maZwtGO27pjIY5oMbnXx8GoQFT5fpVX ZBBvmLDGRj4cqBe4C2FDtVtKVUmdyCGLvmHWlDgI7CAu9OY+YXC1T5sPRivjx0jD8lZ55F1CHNp4 u9mH5inwGCNuU0wUI4FKXpcOqpTLn7r68iLgFxisbymwXVjFvg/RTXPr4UtNXxYG9aVdwBwHuvYJ D2rng4Q4OVy0LOjK5A/FdMMRhHlfqkFevgiequYoRYrkf6Qh8Nz8PkKHJ94kxNIZm83kSgWiZE19 Fr39Vv7UauNPNIQH8PbqQifrrnnR6REMPkeZ7Z5bVDF+oV0ufnT4JFmFTRiSiFaRmRtDYLp3sUt+ R4mpO1bCydD7bcdlb1Ltn2xYKdccNKj+aqhyp2/5cB4Xy7jZxfvkOr383LYBJkSnzrbhk9vpp6Yx 1vKr1j1GfOSPlOYIMFIqEdb+HO0Ymal9xXCeOEdw3J/84kYo4dLQ708mgB3+VE1nD2f2Ep2a2wu9 cMVc8TVwqazjnSpJmie0NqH4MbDqbs8r006Dc8cQ3Zso9KlEp+IN/riFlomLsKokbFhWz1kOJTB8 kF4jzVhENHX1Bbh8tTolmrU1alLT3LKwsl12KV1Pvr4PNBFtGDj9/BbPjCxEAgCs5jIUNh7F8jkx 1KFxUGO0aUxLnt8sG3SzTBzgQBfFIP5niQwS6d5q6EQNvpZUtykjLKC59y7fUdWSzr2Yv+sP3P9Z LzzEMS0SdxknC/JRL5PDTk2txODWSSQOdAdO+cLI3KrOnbZf8HpnhedxXer8brtb4P+IkB6ohvEz gDFAA+oz935dmziwcC0mFo3kY4/a9mlPETA7Gc43HxHL1dolWhK3Yu1GerZ6lWcv9G42c0lfsuTq GyHzWSxLbNANl453E3h5nzn6DRnWEwDK8Z9AbUJiTKjxkGPmItSrF2UKlTpDbwfFCLulnJ24M2gl nYGDAWNM+Ld+PUXsH4KW3V5z4+znuZOvmx5QIzotmtJCFrFzZfy0NsQnBpq/Un+GmWMuvRoHLzwI kQJQuvsYesSKpVLckHFNS6s9+cv4Pz9GK4z198VU5ej2AKDW3TPvZ/qMQG4SWFO5VCGwWWqcuvdz Bh510+J8qdzpK9x4ii1YXaKMlRtVQ1Dyfg9NTLPKCnrEWSSjHxNhPV0I8H+Y3HdXISDRkzTfm4bg 1vc2R6dko30Ns30CE/Slte9hL5zVfOGWiH9ZOy2gC4SaIxWNkICNZph8EKC52/LBYDiGA17mfvg1 GjS2xfBgvdNer52DxuQm3dK1qvEXFkTr3b1vSDlqdmSMmD778R+dHCZ4pnxnxsL5z+JMHbsd1UB5 29rxtNtwPUBmLGLDAlABTwnKtFJ59OuVFAPsDEv2EX0htXv2JiPr4r8gG6sv+y+4YemPvV4Opngt +6YsaBWEXVisdHd0lVYebqrc0mAnrRKnNIfrRpCqkF+Jhzk3aHe1yPrnX8hZ9HYCCWdiiz0TFS0y h6k1D38oYzLFsNIv0jWEdGQKEApjBte9aHgJEGpyoONXmxCgrx6WlKuTNlFBDut+K1KOXugIwM+A NEGoTGZkEGvVI3t15h6qAqs+FIun68iSN/CyoDhnb+xXJq5mQiBlD7P7nMOYz7QPrZ6KhieMtuKj N5MK7lx50L9bnZRKIvFOrDAdq2ARdgFikFYmiDTzGkg0crGg+YwVICj2fLM7vCk+k8Gv+sisJFqV qYF3uN0xF/x6HZDk3sMzHvq7CHPZw3ZfyoPUJuNz3FcQF3xzOIuRdXbjVYEQVKkzquAu8GGAiEKn 2u6+uVA7BSP+C/+1DpNDZMQx+2vzNUUZWiQ6Wo7kqnzZHLlHP8ZFD9xHsQBQxeNFxmslUJyb07ay 2GrZGGhZRbYhaZKf71BaEnsLZCs3mDGdyohh6iKbO3qYG9hByZPtMcfLBoT2HymhEr6YUKxFS9Gs 4zYO55bHgcB8eAbVN9k397oi46K1n/jdmNtsrvjFS8PfnXWFeoH5waLiZogPeBgJnb2fqjoKo5id Xes67hNCkW9Qffg26qDStsAGkp6+nr1JhuIGeLVXEUKOKj0CALU8mfn304hYBuy5TxJajtlPGLPk lbTTrneY3tdH+1c6+zgMFMbJshQoxvyILTQeoquQlfNAMcrB1eVQfoU9s+KVp1WbDB6r4r6KP3gC nuRswbOYFhYpHALnMCpc5wN4r+SoZCM9KGDyc6H5nOn79VX9viMoS8TxIJ4Adau0mozI5XSxKU+c MiMuw9xJDbRG+mcuQXBhzVVRzEA2jdnUhptd3gy5F2qAlkA7nxpLWn42jmBdUn7qXqVXH7AgsB6z F5R3Z4rk9IPK/4QF+2/FJ9bDoZ1xE7JVrsS6TVYq8TI2/lGsHZ5alzcj6BtLRV50wZveAOVqnqhI oX78pyfqeBNHN8j3prPppQr+6bjuH2jBSoIrePss04zuuvhGrBgVOgOVYXF/iAK3sytSLZqirJzu O/DrU4XcGOxBOqRrRprQiZ6H6BmkdN1mbJxJ8gL3wlYBCzgfaDLw8QCf+Zq+08A3ZSwEAkOmIoxp Xu7pkZWl8teqWHGh5OLFXcTWGWKGdtkF7wXxrYgM5LMdCxKdmIjBODId0y4E37fivtgPWgJLV0AT Mr3Phc5Mpans1FLAJL+Vy/h54cMKjZFyJBmRWq7TZJWQWpIYlFbPCcO7yx5axiCO/ggzzH2S/jVo QpjfG8W1cnFbiEUfk9e0Lhj3pDvcL1lqhrFXsxDFUYdYEFpTiZvDEOrtdMgQgHIPT/aLCqYYhxgi nCxWRe6NiSUGyFlJa3dyQNAkTgzwAqNnn/JI9u0/sKJxpuTjqbgSHePcave/nlY99AuO7Joc16CL 7lZuauug506tjWGmp1T+44Wb6OkZFEhOLitTpHImuzAIbyyjNLuJebVHWyJvNu3iOzmLwmE1uyYb Gh8NlV8AAQj1I9lgkYFbzNrtF/cDmst1ol5RaBh3/0WDpwKx4Ctb3spi6BNPPCSfgIb//EgoImFo 5XOhPT9whtayH7dWzhlIdEQLnkjLhUJMpNamX6e1egkTjwstVFdsdppfRfrRXJhVxFiS6WaHZOkv J/h3j5ND8d38iFhBxAmxuBMn9nrKcw5JibeGqiRR3oRUXfH2qWGjxnROYiaxICg3CwvZTaFhgJK5 SjHRJcLnP9Nd4Q1zZSGRIJCZ6kwDbOrscU1kOC23rZMbyN71Lhjm1IhbslS09LWGKwEL3z/vGa88 DPIB0Wp8532xpAgvhY+VlKjyJV55IVpLPV22qHC2W6pYXDI4DA9WqUIBlvePCLSuF4cBejEgWmVR ZA+bE6sNZzevRE/P4FWZY0963j1a9oIbCWWA22bhTJSCEXXZpbuhaNoU7Ywi6HMF0j87yIYEE3j4 vqzNiLfiExgjfHWbWz8InXAngixr93Bh2cXIXJQQ5B+7/5xFeqHKW9WlgX7q0mTtmrbUfcFilBv+ kNW0hFFud/AHhlUIocizuMH9VAFxBi/RyPNzN+OwbJbxITSBKPPQWmsY/+36HHQRTQWaJtm3Ww0J pZBhmPo0f1SPL6LWCcq9Iu4P1HAl/xBMftGFXJC2+CTtFTlZ8KJ3xo6VBhB/qDabJkDmo4pChszR /RIwQFt9Bcx2NlmaKBUPYiawKJgDnS9nTUj5GT1i6CV3wBThrPJxMHZAYSzz2zHhnIrByNOk70S+ FzzykwNYnBKjB7BSTPkVsmTVi3zu4b4H4oa+XlBatLtGuwMIOKi23cfuH6tX6o7Z0xhiB42iUWJg RQQrbUOS4cEX3E45TJI78Pr3UNYrD7HVAr3WbW08AHm4YUToBOOJ2QTwbM7eBzHEJyFdHIbMYKSc KncxI9boYXk+/wJcI2Zzy1kYAVAWAWAojrvdHu3tJM59L5sUCv+3oaHjkOvN1Vph0/vf6l7f6Tin um7toGKYh0beCFP84Esxk1K0xmLOi3b43aC1nAesggCamIBYSbIPeBQlZcffRk/4JUeA9JRIZSMP 88zLIvpcIPaU43TGHhSdGbFzFuBEvtQxwBEe6cfjHylfo8Y6wy+Cknh5sI6atEp5u4oCEIf6ZYx6 dgihJFl0M59i9wOvirzwGmN3bYe+PzcPVn2Me76klI+t6RfqaVST8HunWoUXU76yyuvvl2Kxtogc g45uc6QYQpP6WdNDOdkhLvDPHBaPQFeQUlR0VFubIu1IjWQ9qjhqsW8L46Zk0iZ5NvyXzLnU7DNB z4BEehYiH6clzWkp4dTCB/ht9gjrn7SjWLBjdulR9ivhyr5lfPNH33f/dG+xHxGR18fXDCdj5HRn qkyNgtjMxqYtQqGDWRPr8gKoKHUWhDhlRCuIyR2BVxMoGqzm8x8CpBAi/5iLDGVlSs+ailbipTr4 EwgKOTH2/6FNMBUT9x2hNP6tCQLOl/KvWiKIwaUxTi2sY+r7rjHehuQZqKzWVbi8MpzSHYnPm9yu gFT7o47aJsK3Hz18Bu/lUIjcHVhFoJZkO7X/HrVgVojNjtvCwM4EVU/vpFouUJyh28MaPGQQzgyd dVR/krzbgn4Xty6/qJfRFh2+w/gY9tqkKRqpaVRObQhfzg+yqqiyFzEvhrwBBpkUHAUR7OBvdKIw oqGP0ypHWcKKSGSLarDk9z9jyCmIAAUb8b/1nRc6LIuk+eZ+rlrHohhmtDxOX2VE3hBGhy//k9Gk 5DOlyCDYm2AWlvzBtjNst2COtrxu4R4z4+vI3b2bVJD6mweMjNigEUz+OhVqwpFC8efrYdhtLJhv Hhq+WJ7CD+460O8vujfkQcWorsZdfQVMQCg0B3gFFOI18NlzBBAyUydCLeqAKdYXrKnMA/rZT+4a 1j4wVkuvFO2o0QiTUd9xPYk47fmfpXDEOso4Sn5F77WjfRPp+9j8s7fMgC/IlBouqRDnxUrq9ui1 MOcRKtHJhWvjnD16WXvwJnGSwVC2NXypCTHwtGfB33LzYsjO6N0fEBm6RH4WZn5TDl0FmgvtZ2pu ZoaV54klwknXKYAxch5uiMn3XTyhiw1lZDxkv5zqcXiJRY7DcuG86b42QXdrUCxoF0/pDPMoYSFD RQXhl8AF76Dla2qvnkwpTKSVH3qq44ks7wuPujqwoUvcz9xR4uvfT8BmV11EGCwj7h5yAQ8r27zT BVdc0r3LS39D2zxLXHIF9ppugH2qpGwXYT8U/+1GZpxnHGmGFuikkJoQ6hTlXqBHBj/7MCBxUCta FUh+EfPLoiytRWVaTpP+ADkdiPmh8MOCcc9gJPnaT/85CU+Hnw5agORJOJ0cUC05x4vf+WzL/syO TlDhVCz7c3iMH77jToU3mOj3WovcM9LboB2G+aCVDkBvotVXzcJ2pvpHyUzplmeWlbQi1FYEoNz7 F0IJUVE+IRQeWVxS+I1Xt5/KpddbH61EBa+YAZSRb4w6gVBXtEZLMcIwAiXJ4m6XrXrasdy38S/t UFe4PspCAyLr+L4M6cRop0gV2RU6f7I8yecSqCytmQw7DeSlozipX6Ooj0paRx1j9vJjQnIaz4SN dwXaq/Qbp4+rBIGF2uxdEG4JaQN3U1pUdNzy958ziOXsPFORFBIa5rqCaQX/1u8qOpRoRovYSnUh odgh+E0bm4KTZ87UXHv1vtPOVm1vQYMjG1AVaQ1WQxLnlexY2MNisNjy10BVLhD1C3eFbg+hrS1+ EcqgVdWThSNpot9eojGRn6NpNkWsAJHkf+iLDkp9UVcQCszP+wtHmOrsy7R9zs0yvPEU3ZQNw91O xX5YTQ43+MzucmfKmHEHlObpZCzEFoM+ZrjYT46SBcx682Thn9eFc5053HdicA5SmS0dSK8PLxlB h8Q/vh8M5jMqLrdGNQdmO+0gXPlBPS8acitEyvrGMnas05U0bCKcxjmBdD0Twlb15ctEHtS1DsoG T8dH14K90urXhHnr0zhUaoVTa3hWK4jKEhQar9ebW87bcIVc5o/hXSkvEe+dkArb7JLm7eg98mpY r7/wOKm/8xcxUydnCwKhe9QwuSRL0ohRKSlB4801J2CG4aOMY2PKyMYV7OkcTgmQGAYx7b6rKWU0 1eiH33wBjli/3tTwylja68CNBEHKHXQ2dEsSM+rrBCwC1wg4umsjlG2I4V4P/R8O1LX1HMJKm0hw 6a9mEnAastZYpa2h8HHGRXXTWPQt6Lm5pB1exZDP7SqOfv8o3aJ6HG3KRH/Rn5AUVpjaAdx0Elh+ rcHCBwsXuSbxnv909/gDSOblZeachZ1w3uTZZIqsd7RdfEfZnGWeQv5GBbwZ4k20TMueg47QjnL4 PqcHBcNojqCuhrOaxxJU3mg52ZdsBcH1xdFmwdEejKSHnuHBbVJu+bxzSr6nniEIKVrDHIGrzEus kaqczb5sb/3Plg7hswKl6ghlvgRanMG4/InXnFos1Gi9JzlM/Dz2qmQVNiWfNsgJ+4TZhzKUR2Ka Oo+TV/DcS7wDYDBFeyCbR9lrWmTAiEszf6O0hnnQKHKF0WTt1ivXDqtWK3yHza+l6EgAI5E4DFH3 BFSzevGNkxuq3UTPCHDubVnQQZegkODa6G3h8na8GJtZHkTX1uBRgkHvQkQ1T6skWNZ0yyP2odJi AetyTkp7Akd4END8nRcXpvRMsDDYqtkcjlcTEOLNj92Fa7fE7Z7IRSaIgMRSTcuOQILT+TEQP1cC Okyjt6+WDR8T+AWyYTd03y6jbT86tC9kW96iRU/9tSrcUCoG0NyYFU725eyVPugzFv4EBdM/4YR8 8B6WAfsgGhKFMPyPpt8SFU52LDjG/AoUVAPGcXQ3GJsJsXLJDe3pT0Sovfj81yn2h5s8U3Y9RRj1 cjRy7vE3BTeg4V/x9KJUwshggDzFZ6KP6PxaTR8w7L7h8ylCIazE/BOyuRY6MB/C24sJBYT/3Ey6 SYZbhrNV/dcwhFcVHAnWOSdfeUFMbgO2j/ThIQkdw4G3eK9RWROJL5iJelXZimY838L3t8FUwVvA CGGTHv2Ees0jorJVGD9n2O4vCfEykZA48ympu6pI4SNb9iXk5yUZxb9ICpesPD/NbdjnjpG7C9Vs Wu56uajjT0OpNWXYO7Adz2OimH31kYfe4pxfCoXBZNF5URxsahXUshkh+QDaDZFJo54qA2ERtL0X AOkU013H/+8K6k7Zx8k3/KvftonBvt9xYuVdtCZKtnmmWU0OUYjsSRHo9ZpXHxOBXZ1wKmzCsUPE j6jMeF1nUndAUp+31vrz30c0jjZhfaLDgcSbFt4X6nOTiiNOyRErSi11vui9naWyy4BoHDikbLpj C/GwRh8x55x4kgb5k5SRavBBjTQGksPkTl5brqNEOMjAcbeKIHBj2pUE7FlVdv+YaHKIX+Yq5/6e 8cGxO1u33vRtiD1jtuN/HnRDWX6JzmVLQii3LHXbpmFu/mtnUkl6ouHC3R5RO4R0qHZmz6nnzhQ/ CYKGOhw2m5S1sGtTvoAWVfnXc0qbGvCNpc5zKasn+q3C/nD1DC9OUmF16ZBmnAC+f+af7llS+S+I 1NKP20TtN8KaC3AFyQ2hDjVtFvP7f3Zzeg4DHPqae1lt9gfvPNYersv38PtCkUHXk1G+v6UK8ur3 VKEyhE8MjT/1ZZ1v9lgE2wLklznXDzEjhuU5lJ0OosgKqdjm1cqFXYpqQX5EdkwzKg9IQ9Bu9usj BVNxiLvdvT2bxlY40qd0Dg7FUWPAFsfu3hyrDYNnYNdwTBC4OvIyQLjH5oiFfezchBfO+MUPk5m3 ycgspJYafuVGALvDlLuEhrgzPSO/xkb/cDRoAcVKPN95DHlbZj5M2xcMDy7BEk5BcbA1v4FNoZCz 2/iYjcaal5zo9rofMqe5coEuwzCTUdoXuiq/zCJU+q8WmDNSNneq9aFE64LDnuwSvQ3ZqdeIgmYE cpzuZ/QeqPR9W4ehNQT07pQUg3uHQ6AVaNj6FMqXWdfH2hYKPUfV7KmtQlxhqL6G6RPnYiTus0nw ff4ZrHiCs9tN4UQu68wrmKMEYtj6wuDxcMblLyR45UVFUcEKN2QE3kb0Wxly8ji8wshi+pjVUTw3 AxvoMGYGmXW4xJc1IgWqPOrcqaHw6sMPb7g6EH/ADYZ92ug6CZ+rMi6sDEPX1a/BHhexsCzSQ1PD cuOEo15DZoHoVNz0zeUdu76qICY6/kite22JPOtO/n3kcHmSq+LC4385QqdO8WrUz/WFCup7on06 FLNN5WfdV2v2UcKu+gKV+gWF0iqLRmjodGgcgeSGvoTARbcLVmC4bHAKxJ7LkJgDkfMbHaeTxlB2 NGSlwwqTHJrevPdadxuh88iJjMATrRPPszlg4BXlOaAv6LIWANN6HtK/hOA4gjrEQOZFYsB/iJ+E t4kCRRxzr1mbkUsaxpx+2j4uOOm0AT/gKGuy6eJwzShECe7B+FcON44iR0gbbb71oF3rJt+Bice9 fKlEaZnWkjWa8Hs4EbZNryza70pioqx0bm1MW+GODtZn80YeMYJfARyoDAfZgR2vUG/AABECA1H4 aHtmUdXxP3aNjh2Qc7mOC5GQdFWAglCMYfWDwyXeS2Wf2S+J0NWU8kJXh52sSnbFeaUMUvoCqNMw gof7lyhWjB9TiO45OXSyd/pEr7Rn7AVY6zJ+blE723EsV3vYR3bbX7AV6DQlxHWHBd0lGTX8ZGaS eKDQzF80WGg/bboIj5/7NonODcN3jsFmXElw4n+tnjpJZhBwc/umJ2UCVaGpKKSrk1Wtyz7DWwD/ JTjQyrfW9MUpsO1ex0zjXjVmWN1fx6Qs21L6+KC2CYI35ylnelcLj9Mz6xecxCG2g4yKRIGxb2Xi DH6AZ9UPh0JW9Q1bUaBl2Gzbl/e6emW0XMmAgN8pjvCWNotnKB90u3LZD1IidMFStekchOM+yU9o Ui+UTZJadxFBBV50sTD8Q8d82pRK9jHYPzn3CLDNzthGUI/95WpamSWVSsPMXFdamSqClIJNMEBz HgFgyALHxO9RcMlGcy1H2OziaoOKmaRPGmBFqCNnexZMpoPh7LBfmUGQrebvXmxYuCkfm5hX7JIm 2pnnhAEExlQrEudbxksdkNKHXavN2LjQi9otdZ2UCsbxH8Pu2TANQ1BaQ6i5KiyiH9Mly5WfF8t7 n3Pz2pxBvt8gf2qvnZdiGMGmZ+SToAliUVdVUQMTmArWG/dhv/lLp4e+hsD2YupAXRmOKHURTxJY fDU2Zx7/AcrRJ58cdS2Em2CqCDsAWhKtV6tOMqjLfH0i5K+GH1Z3RCb1rHE5UTcqPyK21trwsG4K tMUjbqISm13ydKIBKXh/zcPd37YsU6Qkt0ZRZmiT9exFDGldxPFUMaay4QBne9bxu7rzCjq3nefi cdvV0TVNhBQ/sbjWT7mefhjlQF2ktMpSJzuuuCibY7FpXWwKgnkV/1D+b1J6KqPl7w/7sIc0nv1W xZ4iNQ770lkazgevnFrUJ2Ul28e/GxE8PKHoeRFU3CNKr15CFnXpqgyG0q9VBrDCutECWfCr/Goe 5lg//MwI6qnvGdyQrkceKa10QfFOU+AX4ckKWyh/cxz1Na1sOmDyesMwkLyLTUdj2VQDFH8t/qRD 40soGaXm7hU/mWdmV7KXsIT4BvT68t7Pw5o6JgHgyVYfLEhcPdn9Hr3GFZfT8szbDIUDcEADtZ2m rPISKB54XrsTGDMDvjJlQjzDVj34t3cfBMaOJeSIukdeHOBLmqWfA6eyMh0Fk7xo5dQUpwY1CLPd k5lZlRemcp4xr2QP6sTez2RB2eoOe/8doPbqiJBWR2cbnT3WVhFv1BRDY1YjBHUkQroXkYCjPoi3 JDk9h+Z2fEwGqypfJOUe/7ZwsUoihV05O1Ge6iBe78ChfQemymnUx2GXSjHXCR+XKCqo7MxzJ6/A vMnri03OwFAm0FwfJxhkcceZuDzSB6w27ldIalptvX7cOT1RrC/0I089ifnyOX9WOJrlObR4zGN4 usVu6vehIWD2rwhKPOK2ef8iSi+H0SoJKRaZbS5TyB8/XhaIChL4E7pwyqa7394DMew+/wjUZWpt s7hUfiXeDxYUYF6wdpPDUj1z5GIQAw6UOwIJCWaaJj+cCLA/1YGQ7Mz/xg1g3qXvH8qamlRte0EA 2/g7K/Fhx6d8L5M00A2bU4dPW1uO4/Jp4dZF4uzfah2ImJPMZQ7HvcIeXrhydt1WHkzWBtR1nYzf lLbcTVwC4AosOa03fq5MenBFrimm9tgPbbg/AJGT1RZ6fqHmtXqXco0EllJYOJ6gJtMqhC+MCTYF mmol9MYc/6KU02/jgHi9q7K5q6iFKVujm3R/t63fFgwCRIMWZvOm8xkH0EcmR1F15s/JYaw1BM1x nTL/79MXlJYAZYNRh4C+m2lrPRyFPEmDPwWH1M6tStXKEQsb3ajFnVEUivY8qAkL8lwAZ+xGgVQD kLB5viJ1fSBULDmXIKvpuVqsApik/qzr+28VC3RXtH5bOXWrq456NnYqa+z5AmnfjevKJBvJJ2DR yg1eS3ZqGZ9ZXybnEMTxo9DBuIkVeWIInwGUlBnJN1iTsfui6XbL32G/CYgZH8KkGrfI4bLjk5++ IjmS4nopHkEvRnoeIbgB8jmxTIgsbrUnIJSDqSPmT4yIC6ORJoi3tyVtBNkcoQ0a7nwZN46eegz+ Y+yU+pXlaTL62TvQVDTcFJPM+H8Tux9SdRB+It2H0HylK8FFNbWdNuYyrevwUb2+wNHa86YY1/bJ Ou9GJ5fVfzJXUoOc+sHIiknEJTstaSazKLj9E9Qw9X9ra7K5gfkjAOLjjhWYz2FHKDq25Ho8XGdf L4DMfs+XIadEaEs+eRX1ivdsSXtfneVtnu0SmIWg/qe5DCla5TG2TGm70/UWMz+GGVhtqRM/rLrW CsjLcS/+DST2qP7u1kxezeGdSD5M8AZ/tIz64G0KGBFEA1zrVd3IQqrHev6s4rPUKOgrgpeqRHlt +m8XYrNyVUS7y+JZB7kQytf5UMR4EVtqebPysT6eeGTIqpcVDVU68gjxNhZIOvP8G7UZLe4j+ubR nscMgyBVY9cQJD2T6sb9zIAJ39OA0rmUd6pyW7t9D+AdemuwGd4F/yGIYsXb4qqM25qyGpvWV2Ep aA4KJdGUOXGYViyA50qQzKmI834JaPm1flDLcmpq4eUMZtphwd7dTra0x74iUtXXMaSxt+gIgU3V CcXIuUvaG/XdfjmrgNta8P4noIlJ7x57eIshgMrpgtK+gBQcr6DnHAX1hk0TinSyUb1n/9+K8Swt r9t2cb3NgQ0xSUKuH/8fpkcXCk4BVmVnBai7chPQXu/igH7gPvTbEOFA1sb5HrvfwWvwkeRuS0qR 7FZv/ycHSBIbMxCnWO/mAIGYY5fjewHM3Eex9GISU10jMU+CCyhjDjIFaZg7v1VeZczUIHzSij+L LMDI++swJGGY9ocgnGt0rCFRZOLALOw+JU29ibp9akftnQ71Yd8EHEURY3aoyQcWZ7NPBp0gXoAV Mm0IXN3tLANbq4qCejFsd4axGPN0nXYYjgirEP/Hoqgq1CNLfjC8yvRI+mYqnKfmXVCRLiiMFYMX 54ii2ewg6KSnyfYZ2/jYgXUvxJahf0yWDjjqziW7bo2f5qYR0qteN2260cCzLiWGcEMXj/+6Zbfb udcpd9b19fjnz28V0FxHzWRWFi81zb0iCzy8/PA61lOOvsX03PbslykYDSO9sDOjTYORrF0Vwqy4 Ntp1gMY0y8Wqhr2uV7GgFRAhbI1hCAnlnuU/3DGI2KdLx1tpwwhiq+d74yY/ti+mkMOQlrgnTZ11 evpFzCgtavG2+eTvMALaC/vyuQqDctQT7Mw+6cyeOB4Rjr03Tu3ENAtqLWQzedzoubzn01WWAl8o N/J8EMnAlPL/SMD7SyXbc6cwS55YbBUYjXhFzPg+7B7L0KQFDnxzcfZWafdLHNzn8ncfJ+5LDd0c yrKoTBtebQvXnl0/tKivVC8fRyEF4GOKPKj7a9GRZ71WbuR6XlT4Wnupa0xR8GQQR0T5UTtVsiL/ 2O6e0xjuVTUQg1NuEiaep7utY8a7U3L+uueVtANtOUneyDToR8/iXmnfl2k1iI3YZMX02BGLETQb Gyqa9xzAOmYjqLuyXgoPibaVBpe9npscc7qdQaEslRMDvWzqFz7Xay+S95jvG+qLjzuk8+B4Lsn2 4inwUAsoxhbm8OIKD1pEd/k9nlWmWqZ8nHdp0OUE+zF4Ek9pB/i1rlPypvqAVXkJ31kCcnKF2TqE RrSOQhJCRVzm5b0GZJ/rA2jN+3M5CxCapPOGXYRmieJ+G2ZhTyuImT37CjN7pZO3un7rEpQTfzSU 13Z4d3U9wGfxZrej8VIrdnUIlJpnu6FiVHD25JxUVblsxJwaAhi1vaxDCAu7ZJHkP/ITxDcyMIbJ P9pwNHDf5BMwm5GhEyKVMRq8anwgpGCM1RnICCRb6fqUpM3V6cp5p3agd5MKlEgNHG/Sm9gwG8sL GF0ebisZVjMQVybUnTBuODi4dfbbkgP/91XpXFPEMXVNHa5F9wQI6i4/KfBmFlAgEv7xMsiPRIHp ddA1pHvTqsAu34UvcuxM3ra/srejoGssuKCMHET4sLWFjiBRrnXjilSsGFORyROtie9uMUh3I6RA UdizhZ3y/tEjgHEEDbXlsBLUKhXIR0fwQuhsiroBYiKv2c6UqAddA6F8KpAudx+qLq5iYyz3ubwH zrKkH3Vi/sS0k4dd3eFoUDdObkCJ1sxWHOSMBK1fok2vlfr+wQTSjnieCnUlFgutVSc77x5TCKjr OYmrD6RcLqBM32W+NNRdRPVgQ5osLMwHKqJ5A2S1dGMIUvK8SKDUjFalkN1aioVEU33pWwfegVzz my7/a2NNU50JFb2Flotq6153dL9XpytfsUPK3HZINnRM49pw0DStLHvMdGiPwDQb5gmMzsfLKQt3 0mha6jh6T74EChmjakl8J1z/XvYwZSkAWvUBKqTMZNfHrgxnpuLH6hRFBfggIRY/y6HLHTCHMo3X u+Px8GKbGrySzxk+RZq9Yx9q0NvQqZS0S94DOvXy0qe05wg7irxXjwIqBQBZvJkuiU02WgfigilO xnKCRHbdkxy317p8CreQ/tQ6cqQ1cn8hcRIpn1fsxh9nh5zDWns/JUST1GbLoXN0GMiH/nXPlAcJ 2KLJorC1bNcmwqew3iNiLICaGg5Vu5D0VUp1PDP1sjE6sRdD3HnAqkiZHpFCguKzeFxZooZwTa/t GMlQZmmO1gBN4TO5wtQxfW3iaVF2Ed/mJr6GZMXNIMH3MCtikYhQE5/GjOuipadHtMLewsrxohKH PeWTjOyhGJ24l1Y9s1KcCloUCrbqNfRu4rJM6vl431HRnLGniqHtjYYR5UmUKIfDMVGS0+4afHdt 2Fpn5qQriLWzsl5OXRA/SDIKnSZe5usq71mnpFHD4rChFaDEn6WfWE3E8s8t+t+ST00KrQuuq0Oi XSCQSG0gVGLUM1XMb/hYuLO53xHkgv2Yr5HBLzxuVLPai6lWn/8j7oFUWUMiIX83WOLFqFkJKMUu OuSvVQHQ79nDmmP1K085QotaOntKO2gLHHl2IartMpE+CY1xBUF9P63/17MKTeTt23M2N0hwq1k0 wK1vZsDZwlcC78jg8yttMdM360R9mMd4xV+sxwcB9m6p2+9tXO4mD829iOhgspbqVfSNZ01TpGZB 6eBAyCfItMKXwJD92Unbc6CNgerWEnCAkpv8BUMcGglCEV26WyrMZNBaV8dByRAXSbrNhyvxI5Zz NH4uo9RN8rVgAmCK8mSvAik8kcm56liorwJYQSdb+4CojqOfLjS0FFNtmjtAZBxOyXPfnfXaeE1Z MgQOOOT2E2CuzmbQRRv1wgt6+sdqZqlnK1PQ+5b9/nbFUzHsA5Fp1B4OC6KSBw44pVWU9Nge/QFO ku9fMURTHgnnM+EvYeM/OgaiUA4VCVc8rG4owu2rrcvttKyQ/fHBh6FyVXON/elFLnOZu6WsmFkb vleJWTtaBN5m/J9GT6KUEwd0KjezUkTL35XcELgxJCA/ue93/AkPHvHMTWgqqagwqGFAeITO7tq0 RnLnq1tvpedxczpymNixvxrBHAcBUV3IYHGpx1eVuEhKA57B7NZ4G2EwUoSLvlZ2C+V1Qmzv3HFC aoO4rtsP5qDBvyS7Ye6TktNMNj8XopUyOwzDMuHBfs/2yLEJJ6IN+iOxKOh2t1tuZx6ZwoPGMe4s si03VcmI2RkjUzrzWCMlI+dru13ubayi3ZgOHevvOq12zePD/GMlLgVuYf5U8f5xTbNEE8Ke+Y0m SryaGo9BPNESEJlOxwSLiqVe02zDjqu17o+yNAr4nqN7vZ9LRP8tADLpES2s6SyMbmOFT+JvgvAs EfarHmwRAwKx4xV7fqYRQHBSZvK5NWUizwFMLQiu5HawiWX53Xi6ijLfF8p/ye3viq/Wth1CkVId CBfKDtnUOGPXHaa4J4FfKgvKbdxM004V5HPOw39D4p3NOyggMexp/guT5W7R4wXLYAKjclCmqcge AQwrPiIu8ABO+aclrn/wtJtdaBw6kP9tc9ouBLrsGfDRBh/GEnCSZxHTssJ4RP+/0FlzheUhqxbx MNilhjPqzIZEMUeXMGjSzzgd3+n2e1x06P/ysdQsabx4D1GH5eZ8VmkNoGpE4Lalo5039kUYKsX1 FpirgMc1WYkqK1qeq62Gn2h+Rvt8Lw+M/kXT8xgEDNjHrbTXtvQDZ5jZzGzXDvsVv8ho8uJdoLNc M7w4Ix7WUr9DaakxliUozLFrjcUIYYQky9iemci6FYl5x2SWmEjdhGaZEmCZqZz+kkE3MwEaz63f QEGKzkjXsCRSqQ2vYJCWeD7uZqnMScE85oNBdK+llKbHyjZdiEtFMy9Ik4G/oNicUo4ieHtjEUe+ FEp8qF5rZv5h1cgK0msYZjk4CuvzNQ/90c5koaozDPF0N0I7O2jFbPnBoJslr/7Fey6XvbW0Ex/3 Rq6FJsXHJnu69rIZ7K7N/JGWpfqfVw905oTjUWAYyFC/xmQkb+0tE5aEyuEXJs+w2DjHnrS7jw64 DKRSQ3opS/d0THFrDEvXQSC+BsvEIiHtFaWP8HSM+d6H2701PU+WJJ/ZE382iaNYLXY9803huTWi cSE/jO3uWnGJuPcBuJXim/UVRBQ8pODRHIh6RzRosKVj3mPXaeEX6oLXeFxnjgEKgf4FqwqwnryL +LWvkfDJdbE3ibR987I9R4ndG2RkaEHIW7Cnczmwa/EOoXGgqVU+MnTdoieqt+4WMJMBvSNkcOZ7 BBhjBGvNHNSz8kVM+w1WvBjTfMIatvflSD/GdY0/xxDVTVqWsVrNT/n8MGAIS7vQ+pnjV/5qRYKm AF5ZkBc1Tea/NXJ9e55IHaAxaPPuvQQK7tZSsEZanHncq6sY8dUDWiQaeKMilF2rWCjWOFHQMyWU 8aIj7HJx1gOsasdfyQnV68MAixNo4Qz219ieBms418xSdYDMoxLZGAUI67eH5kUW4Sca+B0HdBEk b2U/c6wfsjdju6ncMl4iEkZt/F7gevOESjGFcS1Uayq/HNM/8+CAIeB0dhvZMLZDFMQwgqKFEvv+ IM6jvYfxExi7GE1MIXhJf/4NebyV7pc4Wv4ECz3izjmGGH8NIZWBb/R0wN1wdUd4G5EtK1/9+zvR rPuQKklPyAILxMVhBFvV0lYB+ENMDWKKfkelUdKPb57cqQA6RakEZnpznzPYP8mTKb9AMElac/Dg 1NR59OQgW+wipJjjI81VyokqeYaU4Kq8ZD6bbYacDN1u5NAHwoJTUZqflUUS5IEe7MuXbxrCrHXP 6/4cjbeHWDuL0Tqdr8iRHYnC58duyQNmIrmKUOgkrMDnWV1QRwfcjVvGN9jT6IdkGCr+wcSqo/0T 7cXrJfApf8oDuFbK7gWNjrxvvC2XwdVxH3t71jjM7guvnoBlP8KJL2SZXB40nI7Y5TeZZdkCuPgv K+n7w1YPCuJqUH28L87Zj/Mk2lxCrvEllicGNmhjIme9/f/Sjo+Y5yseKcwa5uCI+3fDdAAxBZIr 5wmfyS1AzSMljDH/VQ8kecLbbQgdK4i+ExKISfKdR4w9GG+6mO1/IPw5lEXV32Bm+cOml/KmNtoZ gWqw3EDKGy5qcF4/TlAIAT0mvaEcT8XQ69P1LYgtXZOn7jNLOiBMrnaWc5D3tqO1VRDJxwDl3hMV OO6lVxwMBj8N6wi7qUjvmYZyK/yoPUpqu06jaqpvVsUE/b9EKMLYkr+LPA5zH7FWuCDUbwOrVN9n 18bvFUaqnGim5znQLvxrzmNlOQF+poLHw2BRq3SglgpAAJPLvt87FkdWu6mxEr1ImPNkk2K88Eeg 8Qy+N3MB8HMQQC9gHq0Zt0YNLj1Mt9VYIaxuHKJfy4QuNbb/P8ZOyVCUEBBERZJd8YvQQ3rCH8Zm In+fBYhvmJsr9R1jCxFeZ4C21UKmqlew1g3G7zIt/ekHtwU8V2Z9EPGKdgCKv+noS+vTowTnV/v2 ldwRPWxc9a/0epNVDlolY0LgiLikFeBobcPXXbSazBaEtPaQ1Cf8W7ercTDoY02iZrCUDtjjeiox 5nyCwC1Ol2Dje1reQ/C23G5oiE17qkQGu9xz7+grsYkJeVIDCOXM3WIs63XPeQHF5XCgsAclFLRi M7k6nv9mDjZR2MOrP4MyWKqKg8AIKJvaX2E6NxMUktUIUs+akPvJ2NDx5jTH9Zn7boxiip9Q1smr SmAr2WN6X8fRPWe7TK4ilOs5iHZX+uOkM1HfSInR6Ds6Q2QHZPKZNiToTxIAIlDxqv3gPehsetvo Gfl1byftLaGsJl+V+onXXDMCRTVKWUAXmydjFeJw9QT1tpU6pN+8GTbE8PimPHn/aTfccxKOutUN EN82OrzUk7FlyrPwzVMFxpuq/0YCpssYVPV5UN+gFhwfo2ng+027Su42v5+5IYm2eihxVD/BSzyq HB3wRJcEmJr9a5kZ7JHiY9FhnefnrnFWEQK7c/1pMAMPpwB049xDAZs7MKaGHg/B+829vTF57P65 3f4XAIjbMPR8GPcYPZFOonPilxuQDmiScEWmiavJhoiEwmfW4xUuKQm/XNPUBgndvT/Q9qBj7sQc Gq2iq+ACDIqfogmufxw1rdzqllwIlfEf1vbAnpcVXwx8bCiGBoMyw4FwzOybjXrXrVFI+zv8BqYU 2PnXlxLrfIbXnoPJ/glG04Q9DoY9ixa+ZkyisIhI2t7ArVnvy9545WjZlaAeg/DEalvA5LVl0nkP wEFCBI1GBcyI9ul4iyQujXXSyZ/g7YyqdFADNe7a2zecSnTzg2ZmTpMXg+fMg+rRddE+Tbl9twvm 37cT2EoPxLpd5zVup93MGdAUpWP7lTtWtVYTYiKfIHdoJ7ss8KmYCTsABsgiD8Gf/QKZGU8/aOMj i0wrWghsQUEUL9WfmM11PiKRdG1k7cxmN+frjswXdCgXfm65kpgMAo1OoeEjF8w69an4cjPUXraw PyXzDV6aNrgRdPnkwtJ7bqCBtGHmcm8AVePlEtjaNTf23tqUthk2zD5buJ+WHowlLNZazEZRjr5e nHEEINuH1fmSwjBxc+eUiHK3g6z/lcW7kZBAcK0U9D4a3KXovM/cALNiTLuMs1DhP12lClcXv88c 44LhjXJdrTtR7WV865+A+MqnkYiDOTT/iybm4rsC+aTHbQQzWvOz2Wmi6Hb7yhawNKm0xrLDIdio YJcgLQO9ksRLWy3cecSqgtKjwiV6jLK+6EIgIlFPhhLYQRvgw3RI7RLeHszE6oD4LqCf+eMRXb4s tJnThu4bNeSB3U2apgwYlygTq2IM+Osw+AK4wf7VTLUd5A6fwJ1ywQYIfUoLpVcBvzk10Q5urnys dhsr657bP59sig4y4jo7LaLKOVBqhfHF5RRASand7OfPL4D+0nRYTagJlyhvHfMyS4lJdT8UgY9G EbjMLZ4KQORlpjZcLxLyVk3BLtvjf8Jrfs3hnI4wQvMZvF3PbabcyvV0UHcxKmLU9NuM9MeJ66NB ObGCPon9MavP0iJg7H8S9oJU9ek6FGpPYxuH8EH1iXgRUUO7o7eKyeLy1Fdw2aS9ymczi7me6FJP Q/cElLTZf8bbrSR9M18imSt2St09EoXk0L64NNEZZxwT4jlPb5LPB9gIA9Mb5PYsK72xVA3/OOLb 3H0KgoMZhQRhYCYlOR/ehlp2xBQInwAgCovcE/i8TeSbkWdTW8eYaocm6ZWPHXvvAAvmKPfLLwAv G2Pb1tVYhJ6th+kQNlKyV6C3lyIs9b0nFRG82mi/rNBZRMjl/RgM4gp2HrxIY2LmuuULqNmQbFRA pXASGbHqsBwoOj2hyQVAzdhXNSZ3G/XYajXheTtjt09aJmRcG9GWUJoSn9fKEGZHaHwIeKtc30ie r9vkqfH6glHVXUTikWkVigMwNWFMyxBcniZspm/QbJWeun3hjPy5OOngrXzvT724y1Lv7DueLv2P DD+97PKmmd1v8WHmhwJFqji1olfh+aen/B6TkqHdENtCx+9TRouaXJr9qFzco239E/bM9WA1jAmD HZkZwkH1i5Rf2McJLerKFhDyTphYJfxWxIBfHfqZwsqFvPiDw6UABj+X/Iepb8IuJ8aWUSY6at3e 00WxyOkCJdr4AEaf6lt3GsGdLvQEmNEf3B8CE9IJ97NBuyVq0TzzZpGH0U2q/3UqtIXproRujwsB ZX6hL13T46AKFj8W5bEJZ6lShbZwELwaCo729dAcKs05ybJ+P+fB5jl1N5jjkE46FIA++fsFeYbb 6tCM+/XR1r4xYm7dOLB0dr65fLu7uT5GcYq2xYm7OSxsoaMss/ZUIzd+ocZD3owJVQdwy+7LRxdG O0QKGHCyn2mUowybyZMKpcneCl804rTsssLDmCtECsPh2hg12Cbed7tOykifVwTlFaQ+qdHr3XdU v2Uzps+8rIHBJoU4WRU6BiVz4aIWtKdFd7d/fGne6FJup8MmaN9T9P7oeO1K6NNuWDU5gbhqezJ3 1pIyNfBApiXupH76kqozY8xw9I2jBMQr8wsP9dsSCBuXmD7oOcQ+SZWVpBNkGJK5L2xgfZWWfIX1 bv+x6qHQGbbj90m5EIRJmSw09HThDYTtZWWyCGedoPUhnhjft5lovgbm0psZo8BYXnG8XgwLx2Ut Iefjw+H8wpTtLAS3ZCZ466rRfnIfIPAYTro3m0iwEJNiuqlvlMS8OwmLXUtmDtzn8slJOYiGya2A lA5BkjISyiX2a2gZJPAySVxRzPbSPSFC4MYy8FLVkWzui/eBo6t3blrdJrQOlrdyj3j0UDmTO9sT LHxBPwsqi/knl/NDOccLb7o5lgvs3ygPIbyekhl2ZiPcj+xETrHi2wegWNupaMLxeLmEe+NxlkwE JKeQNBw65uEYQxAcmwZMySTV68HoZZyIq1k/2VYtcsX81gDM1HSYiIaJLa/jPs6lJanVy7E2cgWV +3KOy1UAkDBmGZdQ2cl7iKPMlmmtoK+avAKpgh70B4d7HTGOhTI2kDqpxANcFZiU5eng2VngTl12 kdQHfy5M7SGaQMmPCLghn6ATt7bNLrmXnSar+7zRs2R+Dic+YGjI4vy7IH4VtRPjtAmBs7OX69uU 1ly7+goBk0LovJuEBMj8t1gvqW9NNtTbg/UnUzHp5oUNMNBErMQbckU7eM9bzsQ9yY8ws4x+Pc6t CxNsoRjzRhn+dFW1ccgxJI5gejfgsDtc8p/J2Tyw0w/QWzLSGxAouGD28W+pnUI7zu7jqR4RXjyM HWXIZVA8D+6srPK0BFucRJVk0DR4cXhK/V2//xb6ueAKSvRNEX9G7RY31Ct1ELsKFdrby/gtP72p 8wLS1HketBXtliWTyrQvRZwh5Sm/OSvzfKWV9vBcmvP2hHfUMd1GGqRAHbu8MR09W4/+GwdYr8Nd w90E3R9AbzR81MRjz+WSC7KO+dvTNhIwMwE9D5pAONEMkYC0wb001ignbtuNLSeDcuFPdTRO0NaN oOfKT7FPNNCRPfi3TlN9fAPWoqIliKBCyUm0tOIB7q4KgPJ48ljf76v69zZ9MU08oQlPGEyHZ/1t IkzaThmGxMxzWTMR5rCDjsC/u8Wo25m+CugnUvxJLGrdlBPeMeidnL0nzlMeiGnVZhbw7CJ9qM3f zOUXAHRDGTP82GwT+pOpZf3EcDMF0T0Tmg+W1v6AeYe/s078eLrrEz12vvVFTyAMH+ujUWiOyHx6 K7YOsvr0tc8q/eYhpgiCnKXxshYZVrorU/XdHVPs6lakUuOt0nbB9qqhYbT972MNDGFrqYbnK3nz O770qQpA/GloECsb9lQj1fObS6xJr9MAuN9iCBzW4u7ZqNYS9C90KJ/YfNPWvYD23yJBnCSmDFQS 3Ou1rfn50shEVD+aausrlPLuXsBC6ZIybhrHuAS5+TtTkjDIV4jg+cZGoLEXjuCs2xktPea3Ovq0 QJAtHLVLDRuLSMoGlK/tXAdPMdUVXCooN1iBqXZbKWCRY7J2powwjrZRc4YFuj8ScCCZerjyX+0e iOenIk7v3JrKcAX4hliU19kmAOBDCKibJclz0lFX7ZI/Lj1u+T7G517wq0u2s+L5K4thJwjZaxun fH9yYsUiej+hFxCtThJFu54S5ZsPZoz7G7AdrU7szrndwSbZxKTeF7fnXDtbACR/ogUd52JDtU2s xC0ExJt2GE0u1L9QHClgGUQ2Qjpnavg3Rn7yogF15SFVRv4v9UHk2bmu8rJDPAA38Eeb4efFJAeU 3XC3AoypJc1ehFRg7/Lh3LF1G9QQ0zpmbCZL3bNTPosvaziKlt7uo/MxPVpiR7Q9JRUVJj8rokmy E095yLx1M2dwu6GxpBPLpCGMayzVLlqlyLSdwW3fE7iXmO/BSQWyH8veQqSQ+Oyn/dNb2rgm4jCK zy6WP57BPAgesLjFyb4YMbYRznc4cW6Vv8hXtngiLd447zYj5Pfc8ho0qxrDh67O1MGdueo72itV OJa7fwwVq9VPqt2ma9X8LdcssjvIAT74W9g8YDLd/LR999NNY+LFsF4eilSPIBCr1jkI6VTdVJER cKMUpaOy88fFqAVOGFNGEcrPbc8TI6+9gwIyuHihGRIFQrSDKJl0zKA7pHa4ZiK6Fr5eoTD7Rxit nd1mkB09wid70NHFKf/wvBOSZXO7nRXfZVY0r5kqjCMeTWCixoHNzooh0U5Lp2WyxdI8H0q9yoTc 72pKU2q9ksvdAnH1MSUDPg/5hUgpRdLdbsD8VDaITC40pjJ5Qx+tyMSWlF3k0GEExJAuoDZayhX8 UNkt881qP6h4CZ6ODsGqTwcAF2Cz0rgqTnb6PWIQCFoMaFcwk1IX5hgsMtT5NpOYtSoXMwilY7mB EI/j7NxHb3CkJON1Acga/FcFQYzokDt0PZYDlPtdu+SjfZrjGn7/MdqXsALZzB3il3gimgm4nfcP Sk+cuyexXtDVVgM96nnY+t0p/lb5hIv5w28JIlOS9x+hVpBd0PKSj+Lu0NjJKn28NCwt9AbSHpA9 OXEVbJ+L3OHzIf1z/i8Z7rXsc0QkbyJZJZaIydvM6cWjnRTPv/XBuYofK0LHys+1v/s9dPlAFNHk UA8m1LkXT4oKLE9M80+4KtK2Os88mUOZeRqxJ+dVl6BSlCJUeOpj4pBRLdXEI4eUdfPoEFDhecjS dWmaNaJLAXvgUmZUhVw7WzFuvz21YV73t1/VvZpIm1TtS/66OLC+8LY1oAN1W3SguwQ8fR0A35AT PBoL1tNMPI/076EwmpQl/Hzli8dmQr+iWdMbCqNsi0BVgx/XDfmSRKoxQrK0TwV6To1XWd24LnJq bHmYMihgZwGovMDPD5qH9+7yWKvoDKtJg8N2M0w61Ut3gh4t/YQ5659C5rMv0r3f6atKf0SBZzJv tqMDMf0lcxVuMjcHTmsIT5JYs3nhfkZomZt0vkRrbQ+y957tuRpSYsfq9h5oAPPsHFsB3btPRIze JjW4AlmG+JWcoIMIhEpKUiG/yFxwbcFito2jASEzSCXhJlujbAnMQf1HmqIVVm9jm4G7TnBe61Oa 4Fvil9I9Z9xaZL7IuMKn4i5zAsN83jr9sQLxZtICziHxlXvYMr/ZaGLjbobQXVAFhw4wecm2jfgV Ge5qJhwczfM261Nv7Q40N0Sn/NQor883/VVv5i+GCx+gPloPQG9+/NeMwECTglKkBmwNoQuaL1LB dgq7hDAkbQWNPFmSj9hc7sS5ZI/8JX1KVxOvOCQ+xcS4bJHKaapL8zbTbEKYqqJNH74ZUA/eHff3 mLhJciKbEHVjpqd36Xn2kp1r7aucGuIg1OuvHfqQ9B5rqNEN1vwCQuqXz/uhJsrHyd9Q6qYBpmvv GJawsvruEB2cS+VeuqVusQ/ScqDjYPmWqxuK50B+Ee6vX62EXrB5MkD3xpGI0lG83UCUtt3E3TNl Vbo3tGsON0Pp58SLighW8rw6HIg1V1UeWgDUGbcpeika9WOVMkzZYGbnTSbmpITqsPeuh9NdTb6E vOjLAQH/DPHHM1fs46nKaWolhB4UGLE/rN0Oxk/K1TjdnL6bD8NYQww8qdhIy5hRG2+kUlxvcXg2 kCJdHCzA5ewDjqmR3m7GOd+5ksea9G47BpdjFpp4US4JUHTV3+nZONzWp9xMnzPnEblTNih7dSQg DwnUsCst3xiEVWMO/+QQqxoxP+7Cj7eZblwcXYQJTOcDJ9ta/XApCKz3WcuSedyUHtd5HOEIEonr NJIQqJ4rRZkBovXruTQWDzy290eW5PJ7bsv7qVCXBPj1QNnsoUiysYi1rP2/3VAZFDTa2gq1FRcV L6aoyFocd8ZNJXU734Vhf3Zr+a23vS1mTsqA39kXi4rjPpAv856jJHoTWZMix2cZ1Y7Kuh1qtXEW sNoN0+7A+8FT1XN6RrKVruwPpDo20gOTczgIsZcyxzHuh8xbK+HD8mq3h9hDg+wGJe1oDQi5Ch+H HXnOMGOZiYpliLdSa0Uv+l7mvxfdfeOht+pc3fRYS82IgiwX8Fn8Nu31w+c44bpf5xhBd67jHiE5 TR+YP/fGt2jnkssc/aAHU9IgSZrm+pCkWK9VUqQbKQlN9ffVqjzTP2DQxtBgrK4wpneC340cpNSx M+KUsrUsEd0eyKp221A5oaL1hMvKO33bMIkXgWMNqF5dukR3z1cJBFh3Rm7tyKOXBXE6bh19UxUb B8AfVB6ITLu1dvz6mhONCDcDYV1AR3yKYRw3cB4y7PsEAgpCsZcvf2K4bHbIS8qREzVPw6IlHMwX Fw0lptjcky/poUOVoRExzcTE1dbsisYlXmVo3vr4vJZPsMAYyi4KkEPNW+v/wt/KyP0ngVGH+ZSb 7CYJHeh07g4pP+lCSYjR4THFeCuEKz9rZb4l+c+YysUMHv/32pWtySNlnnV/X8qwyEwMkQ6NRITe Lfw1Nc3MsfKLK4LR85cc9ZKBeZCUmVUMElXqLKtm1g2xkR0D9ynt4mLNETxKggzuauSkZwc0zaEQ H2MaIE0VgbzAyxsYV1AsYPDKheqkvfxDzhUg8UPEbZps0PqsoMzYQ6EfQYF+vHnqj/Cy+sN/wdBK E2gJcG76RGLWOnLfvb7L1TTDwlFTTp07mePRjCs7YM8ICFOl0W4bBhggHCXSHNNb0azpA1wkzYlW Degi0wnVUaJAkzSLmzP6jo63fwCco+GhgxojtNejCL8VsdsYO2UozwmepR6gmz9O/BoDVVHEyN9K c9sMEVaLW/ErNXBRdnqTpoTHvPLj76oywTo6LXOFYNhKRkMehNjk9X5qOxZsjUH7G1CLXfIwkKVa cFOBel52lUvrp/hxPX05sB+JAN1FJR7qABzCcHCTv7XlxMB7f+ReZuCq75KnIyG7t8nVH4IAHRpF jiYvUIuaxgvnTUnqOh6WxniWu5U43Dht9KGL+aYu6tLYiMwKNCjjxoEaYFmAXtR0vTvEI/4nGfQ6 p28knX2bnjmkXP7mQcOSNI1U6qLwfx5uBn/lyP+GgmTVcO2s9/IiKFZidF7oDVDdg8zy9FAqeQP9 bPUjt682RvwPx9d+G/aQUxpWjKh6ELL2gKf1q9yYC8s/PzhmkQrQKoxYXp5L/zNDmFtmmioLY2wY KdU66sBLsO13qZYazrJKDY+XKskdjUGVkCxUlPw8PtqfSSwUeGSav5U3FFIw/LorQoTHEDMG+q68 l/dHrvYHxaZpZ8b0cP4zRTlTHW8MsPtcZAFEmxeu++9DNM9xNFEBH5ME7S/8HdZ0WGmJA+w4T4Y0 Ra1PIte++DF88ryD5QhQR8gpoZLTfvDBwcYaWWqPJMlgsB1nXifk9xjRD7KbNsXzDYqkFAt80NTJ AgttafgGjnUunhCoGNUlJO4r/eKEChd+wbfWm5NkmUxfMUotFnQe9EcYV0LBdhq186ggTea4fpQy RHzXp9EU2WIRbqEUs15XQSWQiJkEVDmr74eTwZI0vP51eSDSI1CWNch1zBPCCW4SL2rj7oIz/DID 39basg90PrLXrT+LxKoE2TwkcjiBU6D8BDeuWddkex/CDzS1brg6YRmacm0WzfHKl0xB4if1Cge+ DOEFFShbbGm/i77sC48p8Sn/dOv9dBb+tKdewaEe+NU+8MuLOx3wm3wbiSpxy9NtConqKZDvlExe hJ+ul8edok8uCT1wMEl6U0RXgayR4rDZigIjU49OJhBMKQ8SiA0eZFA/hy+OvoT9g5axG2ZKJjW8 GjArKqtJdg/wc6So2SkzsT8ymj0JmZe5tAtuz+9QA2E8UHwJ046UsN2yLGNyxkJd+h0ROT24VLVe oPiJZmpovzuyijOArwhmbM315sIpWB0hHKfgFfwWmpGx35qK8I1G6ztXtJDnySmj6QPo9DqFWm2d 0nxBC5jJaEZrOO2odprS7n6H1I1ORUPWTZZUVhyDNEZqYWO/VtIwx3ijyL9uW4q3FRU1c+dZcXGs sDoDJ494Zj+IG+HHwcbUqfGwQm0N76FwO7saE6nDJ/fcpngDEXY8kv+elUsVIuSpZMO6z8bZv2vh CByDC8Scaz9697p4IBZoFLRfe0kAskqZQDMx0+tlosnUZuKZ9THLgBDgeC1XtqUxmWzL78wSb7du jQAZKd2gaDIJAGxbLuRPWXYKSVevF/1r0EXzwANwmLf3I+TX+ShOlLaM83FdY3t/A1I8ggFI3jGm kDysovpKZEdRJhUbpK57zF6cqgLhAofyn+EoQPzJgj2ra67rV0kGnBEPboscPPqpm2bYe4FyZcId 0spDjy4oJFRAk1KM67ub5djSE08m1Z8bj/JbQfCztSNWG5Z2tB2MNb8lsDNdL1BgrNEBwnJWTAX+ U9VxGcrfaKa2HbrIH3sWvIMjlzW7WIrLsU3RzPEVqxoer4RW0wjJ1/kcm/YYH/+3eQYoItSSyJrq wS4GHy1c18rKO5LAQeHtWRy+6+y+CMfRroZlzAWhUuWZ7wejZ+Du7at7GYUcLRXG8j7bBsn7WXDS 0pMKuHRI5PFim0UzA3vB2zjEszFDeJB6GpXqhICc+i7pdkMm1Q1njljU4P+Hip2AUZ2hZLmWEePs h9vhasxP3/F3Fu/MlIyqtusJDVOVwiEuQGlxOZ9YQ2MRnPh31Utm7rlYNV3kr6PWtwOKcCmcRdvF Li8ivwnbXeP2qNMO4L88vRB7L0wcjK/X+VWI+UQrRBFTmqkADyv4zx4j7CVZuHm9hFPgCfXlzVvV yK1j1HUiJsG9yBoI8IWjdehXk4WBcoSehGNS0i/QPGDKy6HoIuPm3lvjF/1hMzpKTKhNQeAxd2Hk bd4LK0K6fO9WAnPaI960naFjC4qguyJe9EHocyWyBJmLNAKlJY773isCOifwp5cJciFUkfq3/tMk 7jHIDOfHVkC4RicKrzMJppd3T+WdpHDAkRjwLoa1R7WsZX/Afsacitr9xqrc2KUORPItx11QWwkX FIerYGR4QLjT7KVCcrtem4GhGwv9Rt8RtQi20uIumb6fLaINYiJ2iaWesh0Xcc3eSb4Lij+MUylg Fn5QdNntXlyzVk7UCwsy/CGx8J9mUgW6lyDuT7eWfWjKO4oSRh9pXhmMFGn99+wyuPm4mFMMlA9w rqIi6f9jxXLzIFeebF0kqVLJY1tVCwS/mqOLEilq/pV0QRC9mFC1jsJzO9wYsoPDRVNbDRYiFZiZ HP7t2i8Va7C5Ag/RYjkhdlCZF+SinzQuEYsV5W5K70YkzwsgZS3NtNIuMJ3NtbyT+uyjBplCvtrY 0Qa9jO5M3yoTnceolWjRAiH76ECh43W7Yv32R50+XP3NrTjw8eV/hswNMoTEuDw1PqauUQ2j+bN/ 6Nt3lNA3GTRe+ZZ7sWGngxLu7l1lkb1QVhvPHnJAPRGuuJStUIg8N89HRiAMCF8MT5aILsgQhNm+ tqWJHV0wh7t2+JJ7VxVlYhLcuwqSBCX0UtRTlCESCGNxbxiRXG4Rgu5sph/hKXfAVB6li8rAp8op qeiaqljNCUHN0AJFclIWuWAx99kGrFkH+9I7/nr478vE8z9ED/eSCqk1J0foGkN0KpRZ/zUkkM4M t6ymChky78N4xd1kpj7Nea3Uq1JiMoW0tiGq7hUPZmrncrbXhfpVbIyBjKcHZyboZPtlCrUWoY7f Qmt9UrHrhihtOa5EDR4RrAd4toA++ksEeunmhspqEs6o68Fd64Qbun+/A+8JIuQb/n6WBGh9Vt3Z 9qbtSqrgCRKE19oeAvGccmZ0LG03yXmVinX/AcR95UDPbsfCf1BGDh8ZCPgqk+vJXnCUq5FDiNAZ yWs6/BL/tgQKwwbXX0RjyArvihS5YVeiNMndjnC6vVL1DQOE0bMKS2b3jU+NpTF+bu9481JMxbTF Eu4E5TH9mXz7G1wS8mJsXyKUhjGZxOGMWF0PIZz4qzMmB07yRDbnA4Bf9VIVGGzCQqJHr0Iw4mpr g6UOwOmOIcMfDr+rTKM1rg8MHFMe/a3tZ2wj4GuWqNVMOaSGlg5UoEmVq7ytU2cxJ9LgsUm7yane U6dNJcI1lEC+xZN0J1FRkegxscWCJfSc5xO5PAPD8JmFw/gIHjLhf/JonzLJ3XV4NOKaAPf56jsi Y5smMMCp52xJ2TOV2M1Ow1wWpN/eDBZbYrOQsCzOjCsQftw10JkT2XLf9pvbs+JOC1U85A1EhG31 qKRBMIzGlVtaoZN+xeVeVm6Zf8XVE1cDOrGf3xQixL36v5x1BhiTPLcLvNl6WjMkq+I2Y8arbln5 wm806qgl9WmglkwvMkW3ud7WXi46He3SPR2g02mS2EoJ1J4TjR7ApMyqg/Tz2C1LQcdbuQxh/zut d5VE6SCsPYhmzQHR6T/SxfSBtYIIErB/sxMQs/uUnv3ySdTDgmcWRyu5YEE22krs3D0GD8IDhOdg TKRgs+cro2M7HrwBE9SnlLUCGRhgCQGhrCFW/j75hXPVgWJDbE8JswFg5ttYBhqzEAffQGbtuo9c cZIkGD2USUoYFCXbv/nW1VldTWrnKXsAZg7SVfRZkrJDYj/3SXqyKmIG2tLzxkax3IyZ2/tMCdns p+Pa4Fp9cyeJ4nOvfaMe2KXWFeCLrrK7+zxqc4PnJ1idnHvEmSInmQR+C6sT0Vbfu2vp89fjYVEp GSB3tga4w8mjj/kVeQyZJ0Zgfgsga89SUPHQxBRnOT08F1QPtfTWDagfNz0xnLTjcJdm/UNXnluh PcYdcpixsyJPTVGg3bjP1GV2sqlo1jDpBTU7LGiC1LSITJhZeq4nXYCfZBiczLYTYTrZz106LS05 x2gNgxqDgFgjSaQbOxIvXdqpnmaymd3ryIEVr6dPySB4jIxkydwNiTq8Ffs9RRlwp5LqH8Bme0xw t3/D7T8FbF8UYmmvqYfKZ+T+VFcF2ri7Li94+1xRS0ylK79ArLRFYILErPh05d3u000l5ICW1Lxo lEKsiJMzh0pVUQhOD09N21C2egW20CasHvuJmcfm0U9Occ/MuIcNJCM1glZa4gLS5VibEftnvZ/n S3v/50u3VkmGHwYqwlBcA9/vrToL7hFgmpKidOaMJdp6UiT9bw8W1vOdn3RC00bEr7rcIwlr9i8K akQxcoNwxINbtIEZ9iBx1z+EdflfTLxvZXoac8v9ukXo/Xr/kjWi2M3De0wsznnldYp67BO27Ekn 1z95n1qKCZcPKVut8moYz3coERqTdURPgiD13c/ggJT8rPXlto4TSP0J/YS2/Tox4ltXCZg8s5LF okAnv3m7tT3VBkxGSnlDsky0DmHu+/MKWyPlCvi12mE/i5jPeUexie3V5/hqR5VvXc1gW2AyVV2g B+e9ih+uJZyHSfUxsYd7e3rpiNJF5INjbRv88/a4PpweDdd/rw/HiZuyd7Uzaalo8UOwpe0eom9q dq2LIL96DECcVz3F6zME/ojrhgRVm/Rq1/gB1RvRfkKhcnHQ2tIYxL2Hjr6+xlFJu3f2o8Fbb8j+ MG5/lcjLKQtrL7qvGtL1HG17zlNi4/zg2aivKA2cwvqUDQHvdoHmSU/eQgMR5mQFLh1TDL08rpMz QP2u8DwmK/AGVac59Omv/NKVqyTW6iMRhCriucGpWSnarfmIrDq87+u+H90mJt2X5HcNRDl5kCYk hR+oAHEKp7to2t6fYWAKHZJLWjT1JsQ6xeyr23GCscGKV23ddoFi7tZ1H+Rd/pf+ExUeoFTVV8Bf 2wYmtnedRTtOweOfR+pECqgi8EkRkQkiUCHShW1MGDw4UO566BwQ/zQFdY7hHkJqwjngq7epLfCh 78A7VHLl8a76ewEKO+8Q3Z6+O/X052QjUBKgym8PvohUa9YC/odN0Gu0fd9N2mtZM34CRifg4fGP DJ26+TkF8kpOE603FjMaK2Jrnnmr1wd1hV1eojquCt8+LGme3GaQaicztVEGqYW7V0YV1UQOOl4f opsC+Z7e6gxdi3wLMdEjKxnTW9PL6KDVnGj4dRvxeQu3PFA/gxDcfzSeb9wD2eMwBOC6ngX7qZxi S610l7wSrXGfxtLOhMloyxId/BTicpbZe+f64cUrRPhHlaV1+oBs3RHgi36MnN1/u0v+GOjNAfMF S0GHRGz4XuyXLlYtBH0dHlUSzmyTBNc5nWKKzZxRnZBeeY5N1D6cn6B98ZTYPFO0WK4dn0WKgc8l dxrts+4QLzi+s9Tc/wn7qCAZ6mAhHVV9Z2XhwBdYFT/EdBEqRg3Gka9M80VaZ5vkvo1yJZL4fplX 6LNWpnoYdWZyxFdJuNJcISff5eJj4k76GCPC11T5MpTJDm1yWKvLAhVJUx6m/zofuHGrRj1ej4cJ U8aD3oWdATenYnchXY3SuZp55/wSSpSSr60mAEaHOnvCi7E2YeoVKf5zsqtGyrBqujOhw3MDLSpj YAkFl1BAmznA2YY6PMV6gR3nLOfXEJIQ6id20vCl0T5Y2YSMFKEjZ77E2pd+HYz0mHNozsBb4Bjd fM8FK73e06K9z45f9/o9M+IR2G94Ai327A50eJXuutEuh2jZ4PowEXyNvPB9mQ4iwrzb5HTVJb8Q 7kwMq5kGwsAz5g0wEexExzEZIJXcpYTG7DDLl5EbkOPGtPCfwsfVJskTnII77QH+preMEl9AxDYH 9bpsBW7s7xN2V07KiVCeZanydOYl9V7+NgQC2LinbfWpqZ+TzFw+5sLGIIGU4wJ+dBAkfnuzq6J8 jKO/2/gsgcurksnPzXYPUvK5Mgm1oUGsqP++ET91nBpFz/N0CgwibCfF5nq8QSPvHr/MV1M4gPaI +jrrU49Gd35C8rtbUl1nTI4A3XkD0DctNpORpBO/HFcdgYCk6dxpfl7btYvUpSWpAe/WjYkIRL+t 0hIcdBlJ4CTsbfV7W8qsYsMTUoYAhL0IDzTmABIfRoGAZ/FlkaY2lo/BmC+0KaA5DF53J/0zsx9o z7OSbRBrfoFS4TiT7YFWZF737u9ho5Kq+I/+GX+ZtHXkXH1sS7id023t4TPGZZ+QizKGJ3b6JMDX eOKhDHtrLF/QwwVWF+2XPiNWfiL1dbHzqJSHrIrrUVHLv4XLstD4ZeIv9GpdCU3U8ZP4rah3XBr7 FQgP7cKO5szzpOSYB291enoCu0KkkjC3tG7cPMyIVIGlcr8MwrSAXHC44byrw0sNou7VybkW+QLS kiGyvrV0AE2+u62RBLQ7Qxz6bimnKZtUoIYr6k98au+8bf0htwXAu4TcxWRmanQUURPp5IAG4TpL 0rDPd+YQLfyJ0h9qR3Bcz8dBsvBYBIinJTTMBNN/+1Z4a/SyB6OC3ugeSxZlgJv5oC0wrYBj08pD 46M0xPKK6YIaHpLd4kya8RaK0OtA8WsltOztiYWSnB673gOd4HQrA8jhrlXMj8vy2mKofIU4N8I5 7IHZE6w1KdC37U/ECPhzf2jrhoEtO4PcackzVL9lAvaPWM5MaADfVQdXsoZgaJ8NRs2Jh08O+AxF V9YyhKJsZxKifuZiA86C165L7EXEMbtbLUF5Zo6e0a/Jx2ZA8EqsFKHb7VPcLF4ao9lFvnC1ojCH BwnqP4uFMJM2rC3bdjUEjIfjA/HWkwY4Ea9/DImqZ05l5U1eyDoHkUEqiOiQpl0pmw/B9GSWqynd J85aKb5AUnjIYD/NfjOupdHJ1nMI+IiMsre2+LQ8kI7PxR9MpeXifWcYUSgVe0Z9LnXLHZSS2irH o1Emo1QH2UiTRMGCDloFliyifwwmgZ19eewzPqeecG88HoltO7oApSetfWqd+11xZutDNCpKux3s 4nPinjtHY27ieACOcFAeSFQS7Jr1cvtRGtYzLL360sFOM2fpeUC+XkXQOiKLkljQOKe8NGEukzxZ Gu39KR+SY1YejcCmEBKqpa+2b/mTOUJVZg02GqBs/VyCeMbVabk+CI8Cz6l/lSCc6vlnSf4SOxHU 1cScKS9iuxn/yA5t9CZHpwf9Rjv27rMq8fcW9mOOBoBjwSQbkk+231lyiLFlSwKrxy2Eb3WQvb4G Drtgt4hmaBWKvie5VWr1BTMyQx5vpTHF6nJIRa/ynldvRZ5XJU+B3IhZaGZcvM3CK3zs4aOgduqS hhNuyEAeXL/QXoK1nHR+KTzZsvmcNSeSB/XMVKF5XWvf1TYxM3jmo6y+vy3QgLycucNYAPiYvNdt BSluCunkEqLEfnQYanNTB3K79/IWkRbYi/9nYOuNBBpeYsI6TlzmfuZWYVWR4Ko1/N8ZNu9qYBVf I+/PQmrU0mn/UTiNN401btbJSO6S0PP+/S/INL3cPQlUFDQhd32fZAxEQwDo4n69z6P9XLNt2mmI 0F+ruV1GCJ8fG30GYUM14eZwBg843CXOmJuRUiXjlQ+bJp1WLwlTp2oNYNODixe5Yio1Jl9hcIA3 samsB1qQ6/FAFY/kxDmFFEw1fcE6sXNcXBsMjjxpMbbTTLS2D1sYXhpX/kjGfMYaW45veZnHWZDC CX6J7m3bfRUl7ZG0uPgqUUnOqUM97/jhdpXvdzy3ZJEf2u5/YudMMLMrze+Z8gvqKTJ2Lbs9iCG/ TLtMuqd/MOqWG0vQrminoAGWwzkW8776nB9gSIUQFWp3hDigKCCRG3Eqw7vixHm90AQlVhBw0oRh W10xFCglptUTmVsMT9JzRTBLYTvdxyBPBaQ8Ka9vfZJsYOiHKSnhsQ2+yyWIqF3Rqzsr+SVO22SU fgFfbDbvxB72apmhCgGECEWv8nXwEOPth5dgzelYUtQB4TR8guNbeQs+rH4EVXuZfxI+WI//vnpu n/dGO4+dbuttfQXSDDVvVR0StzGb2d5T02ghuegKr7zb8RKa9mb3Vpc6VwX97V1dmxaxFv08hn+f 9pUtHj1mp1bFYEBHIn2F4h+z+MY3X+WYG7b3BHJfOxJIy79xDJOjiFnmZAfW6FNu4M/PpAyuizUj 3Pd5Wdf6Icuz4tjGVv4DV4ZM9SJS4tu+Q33hkXaZ7P1El0iN7oX3VaH785XC3dExKNh1ZKc/blmX hfZNYAal1rCmP5BJ5k3R9iqCRH1ukrLi5lKeAp/yYIm3nUik9elUgpK6spX3PsLtQ124b5/YpSv9 xpYDnsynVnFqlOnpOU5qcq/JYryBYOcYNWac+fk0Asd0Fm7xwlyhTIBssNTciI/Zkz/Q+nAxE5XB PeIjoxk0N727oEEr5RXujntlgVr0q/2VggrprJu7YNn4D6HiANovzrTenBixh8DXHeyMtLPpeu3W MLRZvBbCr5/qHE6nQE028XaPdQsYyB25aNzs4mdIJOQWAxQj0gSMFUpOTOgK9Vx7ymOJgQG7wmdM Pe6eRC3Paam6PjnzfpaXFt3rEVhTz1O1UIYwgbZAauV/ApIxxJrIyy8qPd8FJHX3vbpPfWNE18P4 iYf5HQxjRrqRIYsZa0utv6UtZdiZy2GLl37cmmfjo3Bz0NLGXpxFFspIh5YTsGyhBNS3gEn1V8Y6 iCn4o9lyaILWAvJ2sGabvLrbF+oAnqFxI4Owj9HMgNrJoru3J52djMGBl31E2/wvWe7zymTfVTfV ijhwTwhvJd5Fdc7gDqKIVazSDiiQQBkKXKqQgmiEdm2c4kSkrnBH3zyiRXSZHyxHqHODwu3NohjZ GIB1XaDGGUB6xjRXb7X5AcQj8fij/efjhlraGt/W6jgasQa3W0R+c0SThEadUxY3IhQbVLbZGuFc DAqeiC5XXx9yEwnKYNX8QWZkB7KvJ5QtGy8fh3zv7OVcsoXHJcvAo7ooX0AOKrj1m8gnvQIiw2+f gv//A4PgJJ6ASXiImri2OmGD3l+4EpH+eEOqYEUCSlSOq3qP5cdVgJjo9JXvyOsL0Jd9WrujyNre K3Iuu9wU0iy1es64uruP78pqwp9SfiV6quYqEfxtnNinUZfFX53D85riJGY70mCM8Y9pIN0rCn6q ve1i2DzdqQh8mGDUIVKxQBL8IA1bz60a47k7VH4Fgdldb4vkJOW1noF7o2xs9oGYAWOvYwL/2dhM GrWinA6oIowRnP0f6xjp3zf1PMmA1bzTZiZ+GKhHPCsi52wcE3apMeu/KYSHhPuDB8MFSEcDHfJt ZDzOiKNq6z6hUQl5fPMYCGvN8e6f5tCetFM0M/+BC+eVui7IL7jAAR5PxmoCe3HyzYV8/dc9it+H do/C7cagCoDhQiZXK59MZ89VjQEgNuLYhuVGcwNCDEU2xPGRl4dgpxIEGrbsiwBN7DdXw7Z4lydm CfYzvjpqWg/sKYjuX5EOhLr+9c3nMl/j3KMxRlsE4zMPRndjL6SSgIyGkEVGBx/m9QUSEHDS+VXm z6CqbA46kHOPjI/yzLQjaH8KjO4ch4gE49onJXS++S7K42XSmkodB2kYsaXfI5VxGWcybqALBosz KokZlA9/RmRTh2q1vEZ8hDmUz6u8RrP9kkfC8lwPRps58aQOhrRdUrvKWatbap6pAR1NSxWnjoa/ mCyWz9AReTbwYnoZy9L2deaJ/o8Nx/jcSv4hNx7uxYDgLQB6rhfeWg3YGcevTQX7Np7lwxL+0Izi NaprTo5QeBe2Pt0VW1R49uijWQcgErsZfR2OtHXjS5kxJ6ghqysdzPtMN2xz5kq6oN7wgIwIgISW 8toVgWt+rIYE72ISZterwJQI8kpLS8xAomHhJCjd/WUcQ8mnGGjhN/5Ys+G2g8AHyvWwrlPeNNYT y4Py1k6CXMDMNL78slP+Z2crWk5XaQutlVbp2SjbB0Gr1FcVTMgkwa7TFCwWZ18lFhmaFCqgz6np /QnrLJ3mvfXvXFRBegiYhtbAgXt44p0Sv/XXA1QRx7LjF27IBTWZ1og0K0YsBHL1y+IdGpyOWEvE fHr7ziJxCbR2qugzILp0pXw6q4PezdTW8Q/r0KVyOhuGe3KbNDi9k9XDmsEyIDkAAAih7b4K+gTF +deDP9l+KEWrWj3Wweeg6ZIFdYeFjUtA+Mi0hyUB6cw+d92J8tP0/KgzIIg1pvUGsxDZRHB6lE/P Bph71DZi3m91OPR5Q44yMQ2UgImGQxURK4peuq6grQ6j/sxOHrOxM3N152TMHjxA7TCVnUjFeQb2 +jcZFcPGj0/qLC2LxnNms1xIr+cmRze2y8odIhqGi0hF65fmfRMjrSHfnycR6IvzzSFO2BV+ae7S Hd3NxEt1KRXysqfh1+df5cFSG3R4xjkv0h+k8JPcrxbRXtZNqKYi8Ao7lxM05xFv2nqFje0h+ZEG zIoxnCxnO6ZNLeZUXWNmccM2r2YiSem04msPyX0bhmhRDZoXf2/1A3fyDQV9mLfyksZTg8EFnA+5 PxvGmcHikFP5kMIe51W85Tm3lU+NK0d+/OGrTqPePAUSglOVUjTSLrRcJfVCtBhL8dGonTgbRKYi TmmYv4cSkXTvgaQ5INJtQHgPyC+K9eJ8OQdyHd9m/AmPm+KkYqC8zktdD1ZnicX1oDXP5ylq5G0g M5Vzl2M5+cJJBJ/HUH/qxlosb5v/yHUAAhwEezR+vs0JqP7l3Atirb2cs+BrT3/gnAiXu12lI8fr +1B6a7tFEA8Prlb8V2VcEgTLBvNcimMwmhLD8VS6Z938VwKN4Drdx6YJcxRUzC+Pxn4avhuNqwUt fv3r+vLRS/X7auiiOtPdnCEVYt67Azy/pWngAfDv/WbtUk2UYUiTi0fdmhr64hKGvpifGInECLO4 a6mmoVphavtAK9XC7iuqIvI9kk9jLWsC95hOU2t6pOaJXHjbRXyLYlN/TXNm+zpworbS3OUkmx2q A3wM0xLNO0zNQS3yEWDnPXjoCqVB6Qg32reA4FheEvfJQKyrbsjUrMkYgNCcZ/qiPp+dnZ759Dxj J03hc/LVjrv0KDNTvqMaZixqAcE7FsGFRIj2k0uvwTZjbCCPi1cWClEQudtg8/Yq94w8ou4UtRRz 0zwT3zek0d+VGAYCLYWDqvrbFdOBjg3leb4do+k3Wl6WlmrfbdwhWi5ZAFFxBeZqALJFtkHoEkQm IXUn0s/nXzYPdfc8/copa/4VJl27TalS6d8TVxdtoLM7+kdia9aQ5dnlK1MixUPp78iEoDM1wWHy dvbP3HJlUr+wfVRVTJWLllGAiCOPUMO76FW88PAyOATmgmdLpFJBVMhq+lf09fBHlyQfaIQjhCKR 1nh7lUWpGZQgcP8yQhRUKC80ulmTKOZxBm1BF2R6a5KtROqOrJ/Kjd+gw+i36FS4WnZiRfiBkUCO UELQZ56bvSJ/yAz6xncv39oPjqOfwGxi3n0brAgQBcuEZMRksKyqHMKnSfE3BGtyF6u6hP2Cieuf YzcFS+9q3FhEWugm8vMY4kpGBKdL9reeVMtXnL4zpMPv9hPmx6Yf6s0NvzCTctUfK1RIg+xs8QHa HSPQ5qIgmnTPEtKRi0JwWZGww6gU1A6/2B4ITwL5FD315AO4csR31XExeQD09mOBCwFa1XdXOomx d/H+XlJmzBRhzD0Lst/HSveIAJ4NJQOdNX85UOoI4rMxIuud/OQAfzDM5RVnySClwc9U7A8hetqe b2BPDiqdCUiHogFs5miU61SkMU3wfktk+s5kM3t993FSukj6vh9XnrtDmUQ93woMub6uXOo8JbFX pDb2YiChYIwQ2IaWK8uhRDF28CK7ZsRIQRGC2N/O3lseYB+NCNyWUqRFlv/UpEF434PYZmFFM+Zw PXrRzkvMdidkAv3njCHEOCUlChMtkgxxQMmPdhbtb0zLHT2XdH2E/mn0TyP+3VqGPrpBXWmdt3Bq 64VPopZHYUOAdlQqor6JUFY1jzw9Bn5tYJ1EiQNszQUh5C49ajpKzlQLSqzD3y6/hpFOaSnmAdQ2 UZgfZHu6ix8j084EBx8af7wooeXK6Ib6SZ8zRuNF4En8PJ/lxuStypOoS5ORTemtpg5phrZKwdX5 W5UvnXJDzRgCkX3t9hdEx93NHb5MZ6RRCuIoxCnMhsYwOESP2TGGeAnOtgjD7NreSgV2wWvkXlvS sQ2D0ckM1LRdcb2jJ6Jtt1tnKKVlf3DzM1QgE+GGjbGJvAMjh0R8IFjcN/yOqgagk5Rl5GBGuVGz eSpJ/sqbL3k3URUviuEhw8s1364zkjb99/MP82pDbs3bEyws1jzT3SAuV82mtIpHbb5nheflYgck UW+yVpDWdBLrDB0qeq9t/bFNEvhIRf1dXZ8JMMBaEtbV+hpvbq+HaqYcxDovTCIXgj8n7WMIlShJ 834ufdceUX0YwYvTpK6UHBXAsxRmchBtX667aqJoYMbV2vnUoFxLhruuHvOLOmXoMTSEdQ39+7Od vt0AEzyxuAdOr/EsOh3swVdkxo2Vla0VN36xmECFCcsrAwNeRcPurlABEC4kkN+x2UUYQAld77un c6+RLLWH7+VgQ5DXFahgZFjzbl7P1nsTFNxRPtvhLSV0o3TWNxU5cIV3cuIZLTxAuuGsaxtuFboE Rraxnu2Q0ppQ9pcJjQPZO7hm5eq5iAmVwHMJCugusqMrwtuvGzvhcnvcrcXhm3tY8QtDA/ASSdv6 9W4JlzxpJCyH9MqrmPMWD0hlKaN0xDkVMJ99MmW5OkwshIveA1Af2dV4rwMOxA/8WhIWg9JKsO/y T4pLHnWoTgarmlNs/KoXjKuB3VRxiMf+8FrReX+P1EvHkn2F8mFHOlJDCX2hQvFFZGnCxnKSQnI8 bgxCd9I+tI1Eik0/AiYCRd5nXg9LkE5rpT4/tTcdTOycFmLHTZ+tcpCG//ncB9x3byznU6kNK/Qq eaFaD3eI9MRm5CstCxaGAJjyMvh/O45oHngKodScgrnMkc6djp0iKjuEmr+WPvTXtw9Wl09gbIGr 7OBqpPpq/ZGeEeCIPcpX6FmH0vDRlI+F+EJ/Fqfpdbb1W98jr6+jE7ixQwTeP9Cw3lHGfozs9aB5 Lo+BQVu9+myyhhdn1HvlST2TqM7v+Ue4xpYNlOkcDJLrZt0ctXsJi+InE4W9NyDJlYFXKEJsWiub sVQdS2u1c/RZH3bKhRDL/mrhms2cxOawB30iuHkLFvHISAxhfoFOh7KgjZqAyzD8Ue+0BhmzHHuM GqQ4z467QrIJWzCEDdqX0WmrDP17RqjSQ+ggeBVlhtSla7gRGeon/qcLzg9vV6kNW5kNCcSKk0pU 2rVWjxdWaSpVrQs7L50JIqeaPuZsXb6DjtSmvJW7RpKUk8FO8wUo7kTlihGSmlhtv/PGi5Qo3sct HW7vC+oGduvT7JwZzBLIM+ntIgDfWq1QxNbBnifoZxKm5xh1Po1LDHlYz3DsWFcAB8m+ybWJTAxo /8QRaJT0JADORxGjQMtDjszMRNphDW7RmGf9DlArtuMex0QQ/Gunb8RjzNzZTPSCHbyGVgHzwDBJ y1vkhVL3H4FzRtJpHSwOF2dv1PzOuwBPo570X2zKN19MsYBrbynxmFBT2tJPPEHXDudHPMk7HNjs pQM8zHoD7s+NxXlZ7b6pzkZ5OBQvS0l8hIDPeZJlaUCfA0pPaNgJF9hZaBTlE0rdCIQWoRh44iFR kkJDjq02WSmnQdszU2SoubdUmvDyJi+m7ZR74XmrvZcwWAxVpTkqDTlgFwKtWGXuGvuHJe6DqyMb PTtE0FVnOe2SauycQ7r+FUCJ0oC6jZ7+cmT2eFjep42RdVrw2eAgL7pq2Y92m5nO+8x7hwnFOul3 gJIJOqw7GgqqGWurRrjDDrBUBI0b1fpamTutJDGT/YsWtTT2NpDXXMdP76eGocUTAyRKi7u/gUwH s863Bh4RbYl9jDjmwwRxHhULaMuvMwkwt0pFcmQcdwXXW+0UbiPl1gAmUK5DDjcmUN7kVIU6Ruje hYhUpC5m/LvJD/RA9d+UoQWEDVZlqTjCSAJYLN62w7Sbf84axVTRbE06Dcoehc47i0yEa30tL4xw pj9Z8Rq5hZaOGsGGjAyZOYdUqobphWoHMSNyn5HovDmjaUlkDiwu4BQvxHA9ktkN6ewP/XO7DSL0 FXQRiwCr7JnThDMy9BRlJ5ueDZcwoMIleOCGhxvSqQYtq7g8rkI6LHL58IreNgtdyuEAmhbXvSHF sjGh/CugMyJLedtXtSncYpjPh4kh472LFd5ZK0mzeh7YmaKTLk1FFpORyiV+cSuUPCnBiZUhMgVw bnhKQ5YAXOU/fU6oDl4RvuLAk9G6EgcF92sJfG80Qv+2nr+sK4FS+OMbDwKDq1zmFyGg47THz9yI kVw1FsJpfaLL+PLvefgNjZSGx6qOU7xBocIc3/8x+paDIIskpGIyWxU0YLpZh8ht59Ix0N4OEocd 9vOXfb5yW3RcnGBgA/U2BkOwG2hZin++5ARxzzjGf5DIEJARGNfvSPdeoHEX6xdVEsekdR2VkZlM QS+PsPiiSAfb1Fjopl9D2ty7A6iKo2oOf5rZbGwQN8Gnlt+8TnUopK7cIS2/VW3dO7+iU32vqqzc jKgenmzRa19LkLPhcGQBFGEvKVs7ZevQaLBCjrDd4ivaWCk1d1t60yVclNGf1bonL5+9LaMKnMgo dLcn4YrwqiMfYqqSOdbx/xoL+Z9iDj1PjFYvCkKPyWohyxwvZ363DGXx3fknkpaGNK0lbOvOg9Yd 2XzRkuQ15mQlAj4o6uomscy7DQj0vzOlFbm2lWn9O63JdukDDKCR3oMAdhN59wk4XKA37X6bxItd EkmizAH2bv+1eaXorsSVxabMJgkK6GEuiI1ysLm4dFjd5jzrXMS3Lgay/GlwjzERvGl3NP/ZJ7bC t/MXvddwM8q8pkfGlJpNKsr0ukPhOxlv+3+eGt0qV8Ss1jZETxJvulm63Mg/+GU23UvMjomcNCok D2JqkRtmFYDc9Q4KB/aX8S5LlEtoNbAps4Le1DN8B3JHxIBI2ZMlc0UCtxYKY/P+aU2lHVj5lG2H gHRixTvWbHiR3nNUu7Pg4EQq5o0r+haGQM9+aMxKTe2t9J60afwAiPt0WvsvUkTPI49STj2QaFk0 odESMzIuJ0QRGXfCmPRmeQ7ZW1R8kG3KCerMJs5iLKDBdvQNQb46cPmjGkVjrGkBu6zimz+HKxsL qWrJihpptJqlLOuGCFtxGvRgCMW8tpPgADwOOpSj7Yx5J6lYp4cOj0Gx7UrwzD9J1A9ZUnCJKLtx L1jsnKP0KRLby7qfLIR+t5fUMvgVS2b2WX1/yT/BaNqlQWrGNK4ZX65dhIa8IPMh+kSNZiGhlXDf ja1NA72ECJO4M0F4ntwUR4d+k04ZoFbk/kC5lsdZNrFb0wC99x6YA/8s7XcymkNctOqW04OCNzKf 36d/luczeVnRuqiqHdre1lHM/nf+2TKMJJkZwodInUPFmLDcGDmOUtRmAC+uGUuJ5NirfRQrOSin kH/yQQbHoDx8yAAvUA+WuBDUrFTSf2F+CzVYvt0oi7o3NYRokWHcToRihDbBlUPodP5p4QY5v6m0 wfWeALJFZbRQfbVNwRK2Iv5WtFsATEtCJDsedgtQ6UFPI2Utc7rObhteeQnRTq8Jx5iWjT1Xnug+ dV4/jWMjV48MkyL0DBPyUBoZxOBCni4/7wnCsOUf7YE6ahwqmMg5u6gT2X2u7W3GqTuk+uMwM97J aYL5LoS1sLTuQMoH88XX8p7+FrdrtXUn5iCENW0mjnVkWknZhbQtfzYL2gmCLvDYarbTXdntNBwk unLOwCGbEUrVy+9g27JE2ks7ixi/5UgbiwqZxXMWHirYTosWG2+wA6RHzPCKEm8Q6x0zmgkWXPXt NvDJI57KKzD2YF/gSE/67Tc7cweprD7G9hCuM2qDf/V26fZ5IqVXkgF87CM0oPXThVtaOoBc5Vwr FT7kCd6dk+XnEcnhduhrC7VXDk1dMlyZ0kInedt9BmHjsWIBKwXS1n/7jmK5u281DZVgjyYkoZTp T43QgDNRpbH/9EYH7q5gwIU18LI14QRBbwhzG4Npnc99MKSOxGPpWW95jgKwjl2jws0ZaNsjy3kF ThmoQha7RpBz87W2jQZn3U9FwQjQkQKHfPP+lWrYliT+bycbKo5wmgsjgjVifo5SY9qytrJHviYD UpwalhEYH/9ItQkDYuI+/eyRYKwxb1f88BQpeq0mJ0sSPXRqTjaxtKWBKTzhsByeW/7USz3MmjHc je4WKj0rDbIs+E3izuVilXQB+ztSL2b56IuzTzCceex4ydQiEYRy10t/Hp9FPt9jCQv0eQBl1UIn y65W2D8NPTBJbp6K4q9fX1B7S/mgpOkTS1VMv8RYlK48tbQkK71oKUVumT12WVgCI5Y3cL6xm86T rmfLBkT/xlc7lyIw8i5+y6a93hBorGXhSgs5vx1E4swKqYculTzTI+WSyM9vrNX6zn4E+83qCDAd CUMCnAv1s3YWcfNkmTvroA34blBVZ/s+2NeyqygfUUaabmK2J0WYvZkH5lZoSXbBNeQCZizNIQUq LofS0EoNC0zpAjy5MOXXqC9U/p6U38On4XIUAgCU897PYJg3mJC8xqhRH5ywdhbZA/TBRnCU8kjP Yx9JIna5Gvuliown0dZ7fYtTq7NLvlJ10ouTWeC2j/1RZ8Jyl7zzhQkXT0hYVDLX69xO4wIoQ0lu inH/MO/NLJvCDzXhAEQo5F1Lpd3Vt9rYS8fbAvgXPFoL/GgNeuhQlj/CCML3kMeTLOj6SZq8A1or PXKYxP1TyDWqnbiVhm+N4ZYaJjjkxvvcGrg8bnBXvz6/HevB3ETcgqtKF2wSChLug7YsrpE8ddrw WYPPaQkj3DcSmW2nBkvg4yjjJutQMPNmrItPuFFY1lk9Iz2sUDFT9b7RpDYxpDsPZ/OdpvKoTFXM kP1wZFSnWR9QeGKXA7Bw1vylEICq0CVTxv0srBtgaO8E7FQUCvneO93kdddgCCQPMQVWEnFx8TV4 u8EsQP8ikZJBxgs1fiROpM3RTNUWROz4YxBy+svtGgQZ595FzKnwmPENX/1I9/GW5FUlL6jQ0ovk LXIl7L9rfqOtia4dXFr4kuxXSAzUMbpedGxhmSsYd3TpBlzgiN4PrEvz2b6CrHouF5DnmdhZHece WeZPKXqpv8x9HXdZsvTmwT02kDwXHbleSyhOP3KWhVleOj9HkuDv6kZZoAkWd3ARB3MySQXXCefl CSAX+JYNwCaZEiesQEoF3UWYUGLDpN0eKmOYgFZtOAnuN9fcJhZI0AuTageKtsnUfkuOgOFj7yDG Lc7v24/+jG3hOcQxspffHq8YavBV/zUYb49u/beuM91anCXBk2KqH3KelfK0PSP/XQsFeXd7ZwnB Y86gaCrN8TTCGYgUjSu5qoDEIC6YNFOAPtDOUoC5PNuK0qouFAoA1a8unX5NkgZGYbGy7tGVDgTE E7dND2bR+RrOFpaZTs09I01mC5YyLYJtfY05wF3mJOIKzVmhZ/Mje0ge4sLJbprRuKqQ8ddKPaLi wUuXtUfyviWOZHiD5mt71Lcb2j7XDUy2zAHdlzY/awT6TAPRpqgCWIsv0CFtn5GxPGeTc/jE0YLY /YU0lTsuuLIuSM2DjM/6bcDxhIVGI8ZcmOXfBSeL2eO3pOAHUuZ0Uj3QGlNedGxrtrE6CPgnqSPw FzBABURvVMJXJr0QwQp3R8ZvG6TFEvWC/bxWeK6Sn4UpN4nJe8It61wcHv/IE7TMziuB7AK8Gcm/ u87Nubi6qnsUHCJs2aCPZggw0GkmuUIy8gr2nivQASvGJsMzKf0f5ZVA/tICNXtf8M99A9y21Kle kTbiIahU6wsD4TvlNz2gPNTvFzuwWiUqQS8o3DBbGyuThezhW1o7i498D3HqYhCLWYjj0SEQ/f01 2IJERmyYOahSJHe8Rw/Ueuw1ADWlAsP64vrvaLNEuySOjfh9YKMIjDm1k8Ec6gpxG3gZYwD6ODlo hMt7Gd5EpRhgQzu3xjfUAUjBjN4wox3buuQzU3ZKOwO675z1NEmmvZMHD569asyqu8aLKj9fsmOR EmSIZGA33MDbxqaSGr8flG0fVe2058sLiojwWe7phWc83zRy3qH7lj74DW3qW8SuJ5zbqDR1Pecn DTIWEwRD0xPL4CGrZM+VaVNDTN+ZD9Ufih74jIBnm6/o37QCGPxK4QtsP4zzDgSmofYPO6SJGH8j MMGf9ZEv/2ORPN8YH8KJNJMNvbL7C+SuRFed3Vw992/fdrVrQFzf9U9Epoyi9OS6IXgOA0s+GCyi 5iIi+LmnsQuOH83oDedQrfNMYsjDiEO/tr2ICC+QWtdn5xKFK52ujpjS3np7wvl72T3Nx5Pz7EIa ZhhwWw28Nwpflsco632sdiVy3fegkojAXN/yRK8yq5W/jBMwo7qEQxZeuRXDM8YXgZEHKSbu//95 jb3IZxzsH1ew4eE7yPI/I0d3trWzYGRUe2MBwp1PZNFt8OlfRCeBZ+BDEqXoV0bxvGHWTbL1LRTK ev5zkv3bsI1hViqc5sQ7drbUAp3Hxc2njbXgf3YRBYlgMG+RkCn6bKraO39h1mm7JCchYgAFF3LW 034H3GoRu67Yw+90kgCKE+4Q7cB5OI2RFPjV5H72OLTa64tjx7NJcbQdxs47f86uqomdAwvh2a32 5UYogiIWZ9gQYjY9Eohzlp9z9SwscAYN6ufi9a9VeDhHmqY79EhSTlEPqzGFd3N1+IiMVKo6buDW lz9U14InmGKWLTJ41rsHgLGymjwTV6uABkS+7KSvbPgSUsfNa5ZURbtvVV9q4XSEGOp8020mxHit ftyj8Ge87Q6hUVjlT6aH9VmJSbiwyJPivvGAtdJO9nXUMVL5Z5na55oPedHQcjfAtrVBxSlI15Vc PaDU/m2rTDXU6LBhvFfhuqJ2dj4CngwMVRcaxTWE9d/R5vM/3LNIEhnYpMpafFwFPYGm2KS1jfgZ S7V7+94cx4aOXMf0Kfnj8eKovvJ9eJgQ1J1at2dTHvLj7MKEPy6IeNdQQRJVPCtbowldNoO5BQFJ poKsrkytzuOCqcE2Qx2H0U/cFIrOUiiNjmujBFsQeNEt8T9MRg2hCgaUZl+xtkYW+pJsEsn/mP6U Dd9zYAj5Jns+Iyt8xcyQYCC1EbVXeYlfurPs0fhW44Sa9LeLc75vCr60KZa7H8Ruv6ERu7/ExwCo pbdnBMx6zzhNYkWf9a7Agm7Jot+UowbXu5hBjJsPe1d9cKLqW3CR5LgPOULfkFwe0D66dlwrEu5Z u1gsqpvFqyO2pPFyvtDudpBTM0pDSDhHC+K8s7/2Jdhd8Z0tTN83NGXQ3g4KeQfYvteaB3Kn2ga5 lvvZG702EzEvQZYD+XVfRhL1Nl+aDzCdkvZY3wBMn+VHaYdzJReDCUMcbS17tNe4/uHMq38DnK93 LRx7K90AWtUTzWMi1d8xrgRIDKnoVkPn83gBa3iHD3GDlAhjwp748DPI5UqYZkAm3/N5FwuF+y3N ei/T2AZ73fdQuSdO9o5HWJ5XMfeNfza3UsV/yMW2EwfyWzQ/RyGp560Kjdk1OaCreXVPwFIYZF98 dy8layFIx++tO1HGiNqNH+KOxQnXUIEXQZECG5ocAKXoSMC7toz8y8Avm0U+KzZ6EDA+TcH1ZSKu Ndvat6bahMDbDLpN8s0RVYCSMJXCRgWbNCO77SuYgZ8GAKO5rDFM/0LVAJ+T6TPtD9XqbJoeh+xy xqbqRHr9RxyAIvIm0CZkoDR+tXS+LE1SNrKdhCzyeSzkKpZOr449z2h8foMkYgUtxk2HvfFFOz+8 FFZEKMhvNu2YtgMWqD0kfeZkMrpZrmyCAD60Dj0n2yTPMvfV3AhMsYVadMMbkpOtkkyKDhn63D3l saxpZGIl/zaLtQUgxpqbhtx4Bw50Qx05kwts+i6DNuwG6WyZGBfphJMKkJsaDj4Imbkg0vg0tZN1 h4yYndt1AMMy6TL/d90UbNste4u+SCD9zPIc8Kpz0nlaCnEFjtd/Jr4E7ykgj9zdSLvLW/wOIcKR iH/J5mzCsDoJJpEUkYbDsXrgy1TLGfsJNncCdb+eJ8jI24RPuvc6sWSA1pnUje1T4rP5BWgUwYqL UtKIOHjRFQ2M6I3QqmVBmIjiQjZEMs2UwVi067PRC9O6NBdkWl4W8E+DBW4pF6Lh86gdAhf0k1aJ O9h8qYXf6jRsaW3wD6CP82pcdj00VsvQjHhhahl+ZprwZ3anGjc0VUmFBwc4g5/orOEpBvEYPwbl 4/UQvWpsyOijarwiNgUrxlksjLb+bhbE09RCY7PkILVWkRfyDxp2wIRZlDqETqD/U3fxzqekQhff C0PfPrDPVBx3tkjqpfuBK0bKe6COQqiNRBVqS6/NJZjE4B3PGloZBH4piRrk6YhMYsIS2zZ1y67q pv/ahxCyAJohpCdLnTaYe8YFrZkOAgvmSWEHr0RsStMStNbk1ppWvR9Ty0uCNMev2l0SRxvvPkpQ ier2qOCczJEQyvLoPmbqBZm6lF6onRIX5enVNiTKjmoJ4y7s/2n8hb5tZQlpbkl6HvQLqmtrgzg6 KCI8qoxaciJKKBxcCm4pcrwQ4Argqxr0sL8Z0rueoRx2YcpR2ldy0HPMV0MPrOYkABo0nJUPMeNv Xg6VLCHMfxy3pLCJY/dDMqBsCf4EfKTxBBEazmuM03Ddgac2QOT/0rkHAvi8KLEAZpi5ZhbD+svk IUAiuLlcdC2c3tVF/TpnmdRC8BHWZCR7CnLqkxDsc2S2DNOEE8OMal6MrTApWb0RxbKSFMUOw6um ThlRWbPVDi3alcMZrhtDzri5AA/L1ugjKD0ZLQTroIiuVc0MxWTUFwtjYRQekglzYWwG+v7MLI1Y wSeHXxGVZ5xyG57AgW9zh2ZvPLy0inOiUniA34vI5MyHt/BEGV7qqKciwyKtexmBvmyc/RAqQxWm L9nqgpzh1XWdpYNTLossko7sVgEX64A7vXZmE/Gw0lHXUV1HfHV2jmdTGwtpZf414MaVba8ENQZT tBDpwPzIy1PgX1UyrEiwD6ajsHddtzvq3fu9/vvGAHwLzkXn8OMwJiaoymGhKVG922ecD8kAwIOZ tYWs1j9hvQsg/EC+NMnyQbG6DPdQWVdAO5xi+XDVApCrWdgMJa3lXC1zNxhYUCzw8S0jlvOk+2vR d5heYXiKqD8b5oHnMUhX6BHvM3GFTU4Kde6MGpTWJN6mDTJXLT1g8citXt7OmKBHV32ZZnQPa/Lk HbnuCohDvEc47lo5SUYQ65O4fyTRNDlTqJgS2ejfqMgB+XbBWHQtuL+6w/V8n7zneYIvqd50oF3r 6JJvsDk/mHPpgVcTQFzj66NZiZcM+Xvd4v2n1FbFAmInpG/f11hG1k5OCtmsU8wzhiwsfgTY4d+l TpYdELpj8PcQyYh6qkdjT7iJ2zogFlNiZY0PsGNMrSklDYPL+3Z4lpcA7wKh/GDX/EwiXu8y5Kha gRFzw6ib328eWjdJiQBt6DHQYtzy+QJm/+R3VGdJ0BD2oAjLvhp1RGULwCqzKHEY6vCvi1gCPz63 7Lb82/LG195WElDs4TvjhmfPNHK4dspUIDEDp1cSalTSzknSh+//qa6FrYME77g58dgZlQ1sbZDl VI2rmmqu29bP9l4Xzxm/xmCWbpB+4NsfGd0hg6o8GW/MOPfHQ4nKbY8+Do8CvHQh0AIaM1FEN3X8 65I2S2+YggOBttWMOHfDjbrtwUiwRsPArVZqiaWZ6Eww9jKY1yY0RETAklG7kdJ7q/0afCCQRS4W +AdSfW+GFE8SCWbYKxMLk6YJ5PnCYoih7No7FFV4YxDdxGEbvz2HQjUimT48vbI2AIQzvTENZgKV aRJ8wZVYG+fZr9g0rlN7ZtnCCJ/3Y62T7U2oFmnTw+fQJkGbjW0W+bZFKVi6bJhtbGMvNd+KgLg7 0LGIDHvzP9S72nKRE4mgVijyWVs1SUZ0zV8/XaSqzvFV53zkmo5HXPNaHLDrO0jegFwe5Ti+y2kX HcZgKDlNjhljzCkjAEGulcDVFCkPPqfbvEYz681vt3b2Rz7SkUQq9UupdAalyr8wuiZMsqCTJGrR SA9SacrEJ/Eyp8Sg96YBwyLWZTzdaL8mq8iCL94gq8NVCv0KNZ+6J0MocwCkBkCLa9zTNi8Y5OSA xpzvJiXD7pymcfOV8Nka2eG44nEBEmJ6OLLYERgr/dRAn+c2Uh91Ksy55kUOCfoVcRY4j6hUvpT6 ABVlb3zhtR8ajVyJC+vbjbU7+o/W2XbgMP+oQoiPNcU72hl7hHXdcVPP/DWN81H0VNjNt1tk+Xuv moh9aYbH9KJakvoyNXmfJL+20dmdWZFPAMDgvCxT7P+NfLKq+jzjlnHZQgf2sHF+wF6QInALc8r5 J2BHHi6GgGuay3CQDcBlk+thY5vPpghoWE9bBdgcm2kFdL9A1Fadp9/3WVSa5/Jqv720A9dOu2qK sME1hW/U0IU5R6RJPLCcYhj3PLABRJorpmjCmumJKkQqQT71dsKFsFluR5KPUSJFU/i9qX3iStmC JFTAmq9ORlACSghY80KDZVINiR6j3eWsih/s4P/xcnJH34P0SCX3hL682l03Nxmbm7u2Cf+opEVn BIBnDZoV8W0zzBP4f8D03gynWc/GBv9OAs2YRbCjxiqguKimERRIAmbrhf3FTFiCIRmOrdRuUPOC PP4pck+HdCw/2AbHJvmGpWpS2z+ba3b7ICQZr95hb9PnUe3uYYr1r49r5xB54DwYphd/ca86PO6m oMz96/s/ZCYRyzgS4OM1Tsxqp07hA2G1r0g+0uT7mLzvQ/zMMNGrVt7gt0QiaN6qXhTWw7Z69GIJ uC9ZDMHLNH0F+U7V182T8brxrQiRbknVFait5/bZiV8rOd1UGExgxPbs9kcef6C63j2uZrJv9ESZ 6s4QB1amopUSBa9TP7jvmuDbawRXrxlom63xWe63fKG0KamhCMrcqaPw/f7Ag3x82fFXQK3Gf/2N LMqFWYRkFVdj5q5gJNcoOH8Ul6IuptbkiOnfFVSeCkgdGYuUKORUajhD2us15EaE27ujt6/ED76c pWay1/OlZ3D8E9pHloDtla3X4/bTf2yRXs9GvHAhM6cmMHI6xkYvGl0BGwvIPsE4lmK5DUA9Vm2z Vaa8FgH7iBErwFAlj23yN2GboaBrJkKo08Xw3CAWXjPyAhv1o91qnpgsJ0wtrCBeGKJkFLwAYctP h9qXUcqKln6MvY2KAkEnzlG+ItN9mIm75txHHlLm/YoByhSomkpbzElJeiFcADbpyqt0EVAxKqOj 2HMRsJXn45DGcnbVYkf5215qVGhrL66yOQDSsh5P0Be3Pedn8wqyoZoXasiG9Any9eMTlGsmcSLO EZXd7V7snaeP7obcNY95herh05nAD382dfyzikz5z+vywL2DZPqhw75QPwcSk09o0GjmbWfIxwP7 SqynKW8IxqUyzIwoLFycoqYu3Pvmd/Byn3QiZGeEzhMHXuVIgB3NIk0xog14nDUvfcp8lMGhaQBD sxlXEeyInhfLnGKREBEvOmYAZQr9OgHWd/N8jV0U5qd3C+cOHkmMQAdi7YsMB9ymrvyNTogvqy90 LnKmgljRx7dEellIGtT7ltlXjerh4BmIXwFTaXIoyuVVJBuZc6ujIwRRtcWcgaiCD2xzf36ZE/KY LBBMWAINQuBoCx4+Tpb7gEsihu220dKWpbEPg15vLSH5QtEI2PJ8YJVaI1sE+wsTUrYTI/lv5DNQ jz8wEcJpByd3nHOuTxJnXVJNNwrNLyTKXn8yCk95ebR70te4EvY8zmAKdeaxUUtgOe3iph8bg+tn BzDDTMEcSjZ/YPyAQ0eHctC7Jxa7a06HMdkiTBaOl5g4Fga052HbmqyS7BwXZ+GImN6Ph8LeAuTj lI+7ZvxhamF/hhjV3pQOnXrsnGfIf5Q13iNXFrGP7I8VvtbvFN4G+WsvUiKTVguZJ/4tPP90UzrB sk63TLLVNcXYH8WBURumU+fahVK7Af4L39BB8i4UgGCe1nsg1xUinfiVTdCyn9Ab70gdREq8m0Gw xYFdydTcVqEVNzcVPohsH9i6fNX/kTglmQa1rpa1amhyVQMRTkXg76FAVukVBzQjdfWLjZSmKu7Z +hxyBExCWXRq34+yWRDlg7i/AYU4kC4pShIw7fzIzN5EYxFPTcD/JLXJj65NZ1cj7npT2n/Vftx4 6pBlen5W/qoP2OfckMgfmPyuPgNNxSHoMgKCkZ0nmPwZq7cnlSS5SFcK1XF/7NAUZyX5EuPp1bI3 zkWXBiF9UPpzqQkcjym6mB9P27car3lmXYhbA94Ch5pHV1k1o4IUHfNxRguRSZeLxlzg4DJBIBda FMBcAXYjebqHcrwGJOBbZ2ELBvKVWUnptiOQPwiJ4dEy+iIacrQ83fbI7vvYxtMvg09FWgXLbhmb 4VjwaI9g8B93XyQryV9HOaVe4Pk1dpPtfIu/i0ZyhHcTaXT5FE+DN8N9DFC4AA7Ar7GgVQgvj2D2 GEcdwJEbrNBiP+SaBNkiuqamGk06T+iAOmp/T4YM3uWi/n1DfXmu1faRLM98ewWZF3y7MKropOYV KJUpk2xJBZ6XQe8vJGFuWYjO37QnSpJNnmLG76C+VjSnWzAcc9kHMIRZqi+0mGclI6x7VKFsuexV U7piNIG3CIOUTz2aK62kzpsEJBO6D7I61vPbvT1Rd0aiGDwlm01UM3PMc1eYH9DeJTjvAKXDJgxD 9P1dcqSMBMiRLJ+2qZGrOE0tV9nBS7539J0hWjHiAQcw2/mlfQ1lUQ7eMfADRG1c/z0W5JETuPpO 50JmT3z7k/oRWcU7BxLzBULqsjeFxbXvL6BNmYMGOEFg8JxDGQwDPUnQeNd7XIivBydX4sKB0PpU f1ot8SBfJz8/CQxnQ3Hedu+bqNw1LebaqY+L+xt3wFlMJDtUmIoV21+n5ZGB/DP1e6y60PehHw5z /Oxsm+Pcd9DhT0uLdwAZRp7D210hjZVnJhVTk+6Hhq5npqY/c6qDWPiYsAQwjUDvmtMGIgBeyOvt vWG6R8p6U04A9q683DETbj1CaKpfbn2eMsoomng6EQoLX5ThA+x+562vlAQk418Ix6Pf1TN+62ZH 3Mw1HvsttreaI9gUHivX/y+dVRqFfEF9cw7SqpPWPuuO9gLikbxBEEhtsrruqk1/YKuDqIBczvvc E59uF1sxAzYaRQfcTmffpDS93ofAJc6J5s9sU+esWPNGXKWeiyBr7qVbR0VlJ20VS+KXL6DSIxnW E+qysBpQnBjm8thyCHJ8Vhrvdjx25aFDrJMrBHYPY81lLtVyzNmwV6PtGmetM6r4Z8Il+/M561mf 3sDbTnixIgBcULge9Pm3Tf+SkOzW1hy/SmCf0ID5AFCYQODUMcYwLwFmOahWrQHOYqSM6jw7xYSH wfp+sqUVnwRN1Pcff5ZUUOTHLsbEsjpcUMtdwVYBj3MwpUOMGePgdeYCdhzwDH0Atgl+vVqxTFVf I+HD+TRe/yqbeoq44SE2A/ynfVL/bCzW6VsOjH1AS8tC+7PvWDRSl81g5tjhVAu9HGPgvbI2KodP JJLxc+vwioQIlBKZic1O0aOPlN3QNEVVj7W1jf7ibYuP+lrRWahc6PMDKySi0uHCSxWSgobAetKy kIUeElPDCNIBPILtN2HwytpAq5Z18qQyCm1xzVNNksRMIREyKxgqNXFmk8APvsstPUvddyP0mwMQ NPkdsmeT4oVIuovj88ukHRhTenHYusom2+2fbPX774Sp85lSDtbICjwk+mJ8J5ZhD0zQXG0RkySh zGL/COpY2gtrtBFiah6piJIh1FStdZX1Nx0HP+mpa9ZakmMI7w1oOwKRZkPTvTOrR86+1PlKkUEb En5BWKvmBoukjHT6Yl6WOR6QJYXfJTSAD0kTkP3SrUcMskarRL7LaAQt6jIeIU8ZSlYTv5//kqh6 AaAT4X2scpA63dBdg+O/4gbUdHeMQq2MGjZ6o+PCegdpUdA4DLeUoUC5XcbLiZeKeZxD3oJGNNgP uD1XzQx0pnhF8rK/VlhYr8CnSEVQpSlqy1w4zIjWFzguNC+wpc3SxgAM54YvTTZm4Au83co8vex3 H94R2S3RtFaYcOXzmWkgeGMDInZ7kB8cFsxCUU3MuUQSBXHRuoN+Cb2iiDOSQhN9CNGtZyfbNrIx Fm7NYPnUgfHCGX/eT1UfKornis3bI4AGQQgc62sRO2VDitlrNmhf9/kvMpSVNhNUNmrzrAh+9soq Zr61r6+m1GNs2gzzgPiMDIGFyE59cGe29T6QlJM9OJkVLBLn6nBuUOZGuowlLzNx7Vv2LAJ0oYwK X5EyjRDMXgX/vGKuRrFmrwOywKMAxwgFTHnLO26mZGobBKflEddj80WUaqB3wZtT5akh9JcAUG/v FmeJG/3iFhqgnnbnK/aN80/MeKMcTlLaToXT8OBcKp57mSS97NOPa4xepS6S00KFw5CMsftz9wFy C2iSVRh537oW8vXNcR9VnikVYB4dIz+BcaPUSF8Ejm53ORpJHm/Jca3ssVAn9apJql9Ir6WsWH1s FlQ6+7za0A/LrQSBPeuH5Wa/sOnPzgkrN5pl0LL9EX8oVXGYD3U0ZFmgYtFEiadgjKG+smzPsfC5 tyQgFhKGjrU540mDyQUlZDyfuPJRKREmJxKYhPPoqCT+UR79cPsrdiMGubMUlDtfNPPKNG7FiuW0 +7yNkVtUvfO3EKo0PXj6PluF0B8uYa5e6rChDiwmt4t+lOEaLwnrsOqoJj2Ypd+qzsIRgDaRsJze 6IPpCfkhWxkRPiCMKV4rT6qKiYqJ4ZA/RIA50IsWsmeOFQgcUpIUdfxFrBf6b9NMzZQkIcdCPlGB 41pE+wILsoqgL6lF9d1XCLgkL6bsdioMlmpt4aGQqp/m/Cqv6/t4FZc+oBP0vXqYkPY8xd1QyND5 vTlptF5Up4MaAhOvm/NgqG5rpTyq5mMSnwiRpB7hAlOr22PO/RMpjY481K8YPCnyVVwWu9J6wK2a 24yX12wVpaTrYCCTwH4oMl/LMxGB9FEWF+DV9U3EGljUmvWH0KRITHR+XYQCXV8vunZZ8SAFgwok kfqmZHD2olNHp3AnqN8AdfXrz+yx8+Wj7PFjtS4rQ++MgJRsFsjhZ1I/obSf6XUaQDFiG0MRWMoP pz2Z9Oi7rSFjhshPMQJ2wfu9nMvE+THpy5yzip7KA1NWTD071WKGL+m0i2M9wxaVSCsJ4z5iKZoy ISbfNZsIngrS/2hMaUUDHAc3xVXrL5orh7I8cB8bmfIzaVWxZ1FSpptFJPKE4hGDOMamFqStU1NI gutmO1+4uLiegqhnWKtLyT1gt+SehzTJqnbRgNpF/vJ9AlcBkgPFSUvmj4yDue5jHhL6MdUCoAtJ 6mNq6SvafyMKgDjSYCGMmy53v8qC0EAHQIYLIgdSkgPwnQr54rKads9rmbjpqJMwqMKBExnexC+4 j15vWBgq9sd8ngFWiaQ34PpR5ZZ9uf0XIME9Aw7qFsjQ48kMxSJXW9sZYKW5rNT8Io/Ej9rLuoIC AwDVDnFGCJe5OKPmh4j9AFDykFM5S6trdC1ZOHOWbjSSWmBLZiI9xCw/r9+XFmQmZV/Yno3AKcoi GBEE5nAYLAIZfBrGb8VoXYswicM01Dw157MR1VFnjmf8GScAdgT4SFGZ0snO6sGCWLVxTENeajpm PJMyUSsafg3okPULXANkaBEwosDkaK6tq+F3pxiO/LYgTJlP8zbUgd+scIuZhSs5ynCpnsPx69Rh l3pTT1swj9dGiZjNVIIgQPGdzsW3WbjhCWnfvk3+8lRiCGPTKDS1cF/OK6KzZK5+0fuOQ1IB9cYg k8wnBMdiV2MAwk67drmIS/IFqXCja6w6DFdW6Ns1MV3QYaiGrVNilv9WzOtEcKgHZx5LOf7Jx1Q0 UgLXPYYmqjrnwhqWAVWkhnRzF+gjiGxo0fzh6LU4ubEcWsr2kTdAuoDV9/LMMmGPf6NAMZxZ2c5z fGlFOBiL79NnWEvvjY4pcg6PwQgRwlgqK+lbKlzOOZC/BMcBQ5Sqb+BkHzY+Nr6cjcYWV70236dv 3KGVo0/jsA94856DokYfAc1z37mgCFALXWTV3s0hjoyWdaGbYClrwRfcxMgDhGUbwnMk4pW+K0dW FmgDFmkXRr09/dYZKWBgVhDCosD7VU14qo8ECt6wdz6Uwszmvf5yQEbw4mxkbCO1CSM6Sbvy+m2s HB0iPGyJM0oAzD3ZfMZFBVYmzjiwPblO6Du410GF0x2wNdWh4vFPscRexApowSbY4En2vFr902G2 2oNZ4cqAnGLorABqUEbXvPREy+I0GQxvsoNdeZKZobnutrK+E3W8RyPZXbFcvp7lWjmewmDi6sRH t+Pdr+rr9EHKf8Aihdk2k1YEJL5iz2UJBw2+yK/+r/lKdfLqrAvpQYuauKiYOzz7HDJ50NmhYXYj y3aqhN/LTwZ3X56JeDe4TT6FnHh/F7mCM6lEpLG8/NFP3PORMEo/NQYLk55IGVUk9l8JbyjewD+Q UByxcQ6DdZB3Ab4DiYLB8PYzG1B+7irBtQNoqgjTZmCtEYBO5mxaECgQPagbloYgI8HIQJYUOwqG TB3sBnQg1csC/S60HXRzzEV8KinR7O1TncP+5VXdeWXxFiITc4pMZiCZtk2ueJRAbmySaVV9fxtk XbJ9IlVon9VpxyT0hh068x1+gcU2JF0pBapWv+WuhtE/kr/5KQXhVnv+VB3JhuG+tsclBZW6aKxU BSLbnvnQToO0leAJH+E2ZZKp46OYrDSibOYruXZs9a20m9qXibYbxesTBE8JUqLH74QXY6RFKMDi 5UGFySmP2Xk8OUj0VtO7B0rKgucWAazXzsrwsWYXVnNSZ8qQJrQZLKS/+wLtkNwUpKo2+DsmOveA uz+Uq5sp+0hoakUhfHfl0n/egTl/tvN5hBU5IIWZiNcQM3gTplVArp4A9k4n3oZ0pilffxmOL/Q7 J2J4Dw3QFxDlPnbUeyRF7lexvUW7HK6qER6Ma4eQlZtptW4joWNkoECbLgQSpKSh3DDqFy9+5M3z HycEnxdX7Vy9HN7OB/lkuSg4uUobEMfPma38DZNqo47DSAWqjHz51/NQUm9rncyscfAbvZbCOUSf tsZm9iSxUeZkfjeG0NP3dkvJKOeeX8SfO5LKgsni+ed7qOYQa2sd+iWW0NUTm70vbMrzhDPZ6xFX vtFp8fVfcEz9POLHunPKKa1UFjRgqjGownyw2YzVaLFyY1I0Z2z+0A1TBAjRzqRpBQzlj2vuC7l1 9tEUUxjfzquo3v1yvYp+cgayOApAFs29b5BuOzWIWkdjdpuuRmhDtR4DknwIV8QIo9mbFRc2KrTj M/FUwyiRtAcF9wcCbM3ieRima2kDMfU7msLuaOmrn+JCs5KqmGZs0AB71E389514B9D2gigbtWkq U4ayr6HNmP92Op7yiAH0mP+6VWdLhqGrg2q1JA8bObcJwaKynWnsg6viVKKd9KEU9/FYCHz1yhQb xO3s4ZVaopyN2tZirFWqd8j2vA+FK64FpNLXBx0gvTZNZ0PlAKD9uTxIpF1rlMLIWrNAnYU1kLl8 WFuvXGnO8q/T1uC/Skko2tywOZc43y9yEzh0qXXU9V1Cmxzl2H0Vz1j8EgDHP+dWhHQ/g1NYsK29 jCS8/euHGb4k9Qm4uNNM7+a0FvlrbCkE5UaqEoDidw0yI8wqFuCvbswVw5JfdNtobnAKhxlUgznd X/IKk5CDmxVhpVWHEgprBbUaZkOIDZuZJcpyoRfNsC2UNEBjseUyMlpRxvQ1uGsnux0y5nIyB3iF DKHQQcuOwAkjSIOcMnrGhp0lTGXuTwJhDk3SJxri4OTJhjvhccYbj+vdjoAVOBXC2kKiBY07QLZL +jCzVmkxUMgJNCZe0lA7++9hEU7oL2Vp7zrv2MTvLAKGBnJ2RMGB7r722StxfT4IgEcixhBbV6tn n69dRBSqU2L2BuPUBqtaiTgiLUDSCQbT9OFA8adyvAolz2wEq5KuBm4Z5wStFUHvnIIK1JnRFMiY NJi/h44U6DIyl975ARTH+wPVwJkglrYI0nXAvDq9qahmQWWFmuu49Q1Zuo24lnt4Nv/R/0PP8yzT 9CJcdwrDhmXc8dy6XFaaMHQ7w1MPCBqnElXXMYc5yrUBBZJg3SKzvCAHGku/7SkfEBcnhVBsDh49 aCM/1iYKg0kuYCepYBhDg8oQykxviu0EFk27M/EsOJ3JVO6pEDj2jd/zVBkit3+jOB4/vqeh+tFp sqonAQ94xwZeLSsq0siLEyE19gK74GV6cI1oZXRCgaQopAVu0eqhfs3En+G9wzTKdun1HJ6sWK/t odPz/cQhPZPrvYAH5w6+WQj5uPjMQdo+Qw/1KXl9A+PeJLX69IwtmvxB+no4BZ+AxmG1gEk2C1RD 0Rnn4akX9w3aLFLEJpNPYWRa6zNnPuYlo/PPd751zFNcLjgPKpIJf+3l56n6wC4+YCyTsmuuXopG vXDw0j+hfW8A2ASG7O+gsXWLjfXOQxy2Rj1HEibDovdT7aiw2ZTONbJhXnnbPM17JbEJ4XMOO9OV 0dDBzUduS9TqZAonA9t17H3iVqCSP/x4Il1LwlAqvIgji/UQhtoLC7MBsoAvBIKXg9ic6RzWuwyp /USEV7xsN1BUZHApS4vmQvL5rqLpz4fbY72PqBTRY0aCi9+TvFxhhLmXcAojvrcrcXogf7s/lUKB VwKWtXQ7XYCUVHJJEJKO3dyov+7EAA0tIlChVkw3UVUXXetNhRWJR3XqundmUs4pkfbTdrrqifjj gsi/vLg+AlMeUcUD+Ki00/hmx+aCl2tnq5Prea//o8u0IijlLNMx5vJXgAXBWICLwxkXED1DMVWQ uqLFbezxmOAICV2qr7Ww9a3TyJZ2YYIosYTJTZPdNKZCE25FpU6RO8B2FRY28Udsi39fQTFazVv7 nf66mck3veTD99EEzabD2+hiulsrKHIjUqLQwoyiuKmvjAa+vf2BRkG65rjdX2S/IvgXkqfGDVsW /LF6ku152MP8/EsE4atzoIptw8eaZKknKwucUgeemPgei9HOL2+81dayeePHDBD9whGNgxrvpky+ pLRaDrqT58vqgJ0trwv0CoQcAhTjHiyReEQMDPQyPg6+BgY4HjYCBa5q1toNh0VAw2YlhzXMbTkX J+VyMlt5zGKlF51xfKlRYCW4kuf6pN53rKKf7z3T5D86K/EjNXt5JvpijIHRwBlrEv3MttrN816M DsWwuciB0UnB/mU3l2cJQj7LJY7l4y0+lNfGHN5hBrv9Kzefrc5Gl2VEE6Jo7VOZzVZpXsIHRJZU Db99rbTihgX09zmHvTqsvN3p3yqC/atHxwWzNJxB1vbE8QklGkB8cx16Ol/QiBMPOGGUO1+Osq5x kgD4BbdQLy0qTs0ykJg1Rh39F4RNm48krb2DkARZdvTOz2Esu1EPsti5Xin3iq8KhvNZlu0aFexf rAgY/dSt44ncDnWyxG4YmDH+sOTRpfmdfa7OVCIh1pjHhln3qTZzn0Kj6YLXOxafK/xVP79EPgka 49HjKs6+ebnQahGTswtxJ0U8rOSMwUrav3pDsW431Q3chrrxuoh+4q0lwN8eAJAAzpXqzcmYzrl1 MbNMHbHWawbukbigFqz7FweuaGRsN0g022n4uyVJHwor12JgT0bWOXqQ7l3QD6F7PnqeF+8dIaYN 2i3oY8twFc1KthfVn1wy+bp2PQLxCycN5AIW6DGCkbVxwOHdRt7PHVz5zHoslsgvuhdRLL3GzuSB BPTCYlQsWnV/rzqu1Iwttc1ZGqp+rbxG2SdcgNF8sYmOH4O6m7VIoM1mJzAFsqbsmAgenq+xLSCA +r4TNbNjDxMMySveWiRD/PVOPWGV6+cg19KEIuJ9Ycw+Mzlf8BbFTZKsnY30ntOyG0tvCMZ4Rv8S Xafp3Cmh8tyqOcYyXPzNCp8r60okcX7bnbF2DRtBmrmVSnzCHNSyYHFAF9fd9o8W+6xBrf7s1P1o acj8XMpYIA51NtIqc9BqL+6awcged3VVFP+H0Y0wDD4hBvmO9ZyQB6XKRXMAPSc7jC1WwBAtQHua LccKps8nmsJVWiszM0HzAnkWVlHQ1xa7VFrrbcqfhFemSu9szs6uzqJPlpUMyQ6fUQi92v3PicLf KAr42DT0K6r9Ba3VDTW3tPscRp9+XnwMI0q/YdkRQE01ub9jhSb4rSs0gXGsOll5baMQ7GauXwuJ vVGr01xgaJXwHqwf5xMcsacYmC1/pUYvclKhbYllhWfvjPKNyWlEMAP6VPZeFJuI3ESfmk4X/mRk AA56aSTzRPzLjBjzuL0V0OXw0bNkG5ag7m1PvqhQ6Rr3GqaFVo2v755kefR520hNHPbRoOVMQykn DYMQexb91GbMcwRK5UXJOazv0o87kncM79KaGlnnsm5kM5cio46e/enbwWffiRECAGz6r3CXWYQj jGB900pSxun5c5ypecOyiNaoNEBXOa+9fY+W6KMFe8yY5t/yB+aqp7In75Geei8gU1zQgJdRXfTF W7y0SytDqxO4AFF5bSofC4VM4C/oiODmfBXKObsp6TC6nc0f5QPq7NDUMRhaLRun7X3aJHmysCJl fJ0UfxkFDgRipEQtxXiyCqDrlx9U57zZUdXGXog19cfeSW3JGz3pr38/X2KR6TVwIP8jS5KooGGH uXrc46aCTclqyP58lxwquB1wyNJLDUvp3D2jwo/DFi3b4/lKKWiMH3VeDt4il3oeqlDIEXrZSNqz jgmBsFSTVDzZmu0RswJw6pPC4JNlS5B9trg48CSPXEP3R1Z2oiN1TLdmRDapeJ8zwGMDeXjZ35So S+DHxL1cjj1sj4M+ew0vXfcs68A5k0TKpabLw8H2FlAvrz9ecrK1qzjQeE7sr4L71DYT0XFCJwiu P89IQsob7DXaU8/Td9GSsSMj8ycnjdpJ3vvCtNkiaiarsaVYrFsxXyEB7t7RkurTiq8/3CYz6/Oj Eg+J6X/ENZYfLWWtslw24qTSz956Al5MyBjHVzSu0flIt/vwsW38XyIUlCwh4h/jllMF9cNNaRd1 vhha22combrqh2rgkdo+4QVl6Rl5w41UaR7iCdH0ri04w9sroIy+dm23mnqNPMFOg0dTIiEL0d/m uXDcPz5CP0yyoZ1mjvGX3bKRRmVjJFGEaQB7SBsiEf2BZ63NdF6EJTC2LfUMxPDwP47XbD5mPbLL gMT5xIo1xdAZ0MQ/NU38GNuSlUIfmPFz0oivd95ykVaX98JAYlKWgwMtGaB7JSKya40PkmEjpDKG OJ1tLnMt9tiUiUma/tcOmDfTfhbgM1rczbfY72skzBst6oJw1Vrc4xFf1Lwe/02wUmct+sMvaToh jlRQZxzeqXVru/QWnSawQKVwx586T+Ly5CdBQx2uYyOTP3WBWkvb+aBa2pZJEAoYcy/oGzAVCwum TTojalEaPu+XI00jtnWAqenzgI4m6Ggoclxp9VvEa4SRdfco2edjKnpIa3hx33Qf8hZhX0myfgto QdFRvGw9TZGLLAtu6M8lKz+sN9BSyRyvsPUPh4X8UmQz+Ns+5y39IROZtCBQqOKRNF5Iu0IbLf7Q n4j1DnLUqqRxHmuRqXXrmYJk4+y8w1guymHrvCJ5fm9Yluqo78QIEEScFK+h10SzAbRBFjvLVZUf lapFRLVjhEbKt/FpqdWuWm60uxydqUrMvfnQMHZNNe0T5E0PHF9r2BGxSohNskL6gYYCNEYmQGAB bis+5Zp7CwPHPw+LawcmHYWJURADpZhwS8vh9rE4629kYypNYYaJBdo7L5OSDfyQQksq3kfHVED3 YObDFqckWUf/vl9bZx1Fk38FvfgthgUM3hpYCW8+1A1hh8hPexdhwBtnCVKIwoQ/YPasknuUz9jZ AXoknaJvupBxA4fbbjnwkDLBrX+hZiYbdoSIlMeYWnMOTFVcmFY3GNTLx2ZnKWZ7xzmPbYjEyA3L 5KGvwHZofhaHQXdWKv8j6VxY0to5kDmgpwTDXRwkTYrz4FYvwpCtWbHJiaZCsiv3ypMPH6a2VF8b FUMFCmEQ5qbF7STP6dk/uvmLA83lms4ZnUBckEHGd4/jtgofj0w5TNvrxXbjKfRkEz2ZEf70xlU4 TVFJLsxwoQu2nVRJq+Rta8CiRRgvir91T57k6tebYUNpRZlydVmm0d19SxvXbvBFdK4+2IEp6oY7 KOpWeucpN6uCdDtBIDDzu+vE4uwChuZdy1F1d7pqTochSAwAYIuMoymTHxa0YrDLmWPKFksl7fcR cMVjgSUIziBMfpGz5EFuCdhMgx54q4XesjxEuTap6c6O15z3WejACOz3e00N50dNifBuiednxpLG ZU0yewYt/oWgHB2B4azzMNfIy0+rmgsCemmcfxaRou6wjXkFkwiJQsHwqxFLK8haxgcscGA7lL1Z hmsEyZ5Sok5IxyRTQxoUjjTHfVBPT9cmRzRhvDRrS10eMBU9sZwNUiv6uZM+hXsDFBZsktHCUdH+ nwy3iZsY4aWgQnhqqVxw61c383vMz1D0eFuMbpGvHT+X24EF05nu/zQslIKatgCm01u+b70Nq23y GZVrovjbb5Mcd/2JdsYUD4X5Pj6IXn4+fZIrYrrOHADQffE4cDf/Xdqa0HC97CRN6SnJEwf1McVc H6ntEtHbB9J0VtTf4AyV2NIfIEGA8WBzQSRPjtxiHK7l0uGvH+zBTKEoMmTuV5+1615teTDVeY6s SkIG712uKUTgBH2SI2T0AOAGOa8Phwc31KBW/IgSzYEZvfeS8XfzzBm/rxtT9q8tbyi65M4TiVrT Te6brPWCpZ8dCoEMupBg9vOGAt+Lv9NbQvvpibFLnlLRSwdWxgzMdwxYeXnZ78piqpVAhaqf4LLc LXhUv9EDKsv3SpL/MUBYzUGWmCnF2FU2meVWgcV9Sx5fY6V1c0jkqgCndUeuQwRTbVmPFJSXljgE 7viZpGrOeDDqgcbHMf2DMhXdUnBl4JW2ULawXCF/kiysrmH21ejNEPAx2SneMeBcXO6gcYozlnWE 8G+4j5B/Ye7lQwmv7dDyrFCDTuAXd5wJsJ5N4unJn0SrkuMqY9DhBr6iRGCHDXpbGw1xqK+iS4ue 5922ta1r2JpDfVTQZSJ9rjdv8lUm1n1PXv8APunQBNI6igSSF+gxh5X7YUS2K0eTx1Nz++ZVIMWa Ycvhmum/nAzeLBBReqThmf4mELdTkW5wMJY5M71qHYB2EEWNyQnLILdQeFiQXeY3ui6BSa9u/0Lv fCqpGnQ2q6aZGT9kv2nxs8sT/++viAxWI+IGXorVojJ5l000dJ4POHYpvSWSh0Kl6HtZm+HfVVj9 g+jk8mk9WPHmjMif5qmcTg560Hx4ZRa1SmdlX4JPN7ti0naXohWzleY63YLoesarN2qdY/wr7tqz PjEJEKoT3QL994TWV80vniey+m3kf/BpfcNIcuRYHNMfCYeqsnayNKYw2XgW06zGlzvXCnj/EOSB oHvIOTj9euXueS5H/5/O0v+44RV49ZYYhjfzLIGNUN0oE+BPYPpw+rTCBzZ/w6p4gRzipdvc/sTK aOoKmr3Z0vwbJ4wXFG6g0Q+DH5ppmwtAYHI3eQXrhzmJr1TsrrQtJhD2K6TWqpO172kesSiOFBr6 qKpaZWIAar/iBl4ySmAeBe5D0/2uDMy0zAvLaPjonCGJGGmIZaAqWWT74Z5k9lu0fTI3UfnhhY1t I/uci61XVRDNDUjO1UBvzUMtyZwwZzda+rcEbshrUB/A6G6b6xHWQEPyJR9w+yTngWuXml+qjhMu hlxmJnKI0vpmFqmtlsZNZ9s6AXOasbtabrMNwXvu/HQVvKrhmogAuHQPRG70cCG+k+En2kzixwjM 3puCGY8tQNuZLxErbRg+BCxTFUHqSZGrM3ML2KCziJtN1i9/nwo0Ww6Trn2Xw5daJJNhJwK5HQqF TMh0s0/ke1pqYah0mZZgwtsPPEoNfA1KdLMtcYtqPkb0/cT5J4SlHMVK2ADY/Z9Kur5kAfqYHnS1 l7WZeHLcOVw0DvfXcpwstE+uCteCmtAV3ZuXDkEDhlQ29vp3v/IbyrsxZZWcvGAEUQjkaAv/c0a0 Kg7tKgjt5vwgxDIa6O7Vd3ApajekKq7JoNO7EmtsMrPLxRxtRL22YnqrTfGZNsOuiA7D4TLL+JIc /N2XE6WlUbUWnzu9WruP0/FR75/K2f0UQKpUGlQOiBCJkEbdOgfloJgGXGd5m2ConXC8b9gH0y/q Jia32FUtur+nxwDjg+W1yGlBi8JooEoBAvP9vTrijqu72UJ3V8FXOQyDTmYETwkbk3mI4zETsqZW IvW79as5xAQmH7kLTBuirlLY5kW3kZStMdjBpJQd7ISu/6XjjMVC1YHanMZPJN/dJTS0nf/v83Mh 99gJq4KvpaQp2W/IC3w4ChlS/hcwT2ZKxr8hwMg8h8oniy5k4fwuSk0jqfoYKeMqP2j6JoY7tM7Q eIJqD6QsuC4HP8KiBt9lGDLcOfF+kAkJOCcEz5NpPrgSW6x1sPdesJefSViStV1ZiwRunywiSeE7 gcxufO8jhpBiOADaoYFRbpY8ziFnCkP9Xsz3MVy/z8oCMkhfoWshgN6dniW8OB9gz57UmcpJf+TF fGLXgTw/2J2f6SAnwz4JW7Yi4TcFuSIEebUvD4rQmPuTn5cdpVWzNWgxPhDYAtviqZz85LkrT5XV eRlT/2z9ARNCHbgEbuCNxjoUYDYbIuxGtxPZmRZFXj/uMwlizBw4mkTWwJQifbYAzmludSiKOCtj 5jJIZzCpgVfiyWmGkKDbYFbgdrXiw/IAZk+es9KzWI8lwD+1P3V8K0osEqDd7nT0ll3LbsvUBVf7 G7PBRQ5Yrogs6AnxrTLFUFD4sjnGkpLYS5O1Csm4RQmLfDsorFB0ZdKhtFD+xiid3SojKTMrRn0L Xji1g/ZO+i8/+L2ZifbR7/hMxhM9K32ptQmnSUVmq1kVWpYbgR8u9skblUDfsIo+FN/2a0hKLHF1 BGAyiRrMiKBanZmhIqzA6yydJ/T/9xgyDCmg/zqdANGXhqwsINmXcDoKiXTBvpiK/SvzW/GybaQH 7vZ2aGq9Gwir5KhSJCdktLXiVt1p973y3KXKrwV0ryCbzur8is8zZ8iQRWnTNJYBxofIao+j7J0m b1K3KVFN0Er5keAKZGTfGSoe8AwuzqaTOZpYElatCQO5OrQ99gGKpV1qUHCloJaEG8eeqY3s42bR DL34spV8RRsVbZpg5ZlQs6SvNINZsTx4J/pfcCYCTF3Jmvikl+ml5slZh/CpFJ2Ly9q1kUghRCmH TlpzmVTvlKLu1J8QoeFBUcChQiwCZi4Sh9sNw5s6LNyO60q4sauFjpmQHt8yakCY3C/vVPEjfxQ+ dba/qEVn/FvRvqtYr846kviiK25XmzxE3wo8JKuBjh3xxX5nA4b2OKAsxGwxavZFSbJgaENNygNI neLMRxi5dLZUF3GzgUD8pFgaZ2VZtXBtrD6Ek7hUgdXKGrN7kaTsnd+F26eweJbQ4y9KiOhwJF0j yo4+1JeajkDhG23+/TsjmSM18O9qp4qvnJLb5dI1sUj5+JRzlapZCczZQrzgZttAF20Jhe5fmzQz jr6CW1QLR/Snu25gWVX2Y5LBVit8FWksZS6nXqVODm0DJsirpKEp0cjNi8F9/7YZJ3y+rfTbWMKz rv7UXx097eg8cdG5/GTtPoxFujccE9lNygrx7iWC7s/CKzHSJOh1O8/d/7BSrHCBTnCYhMo9+yt2 ZF//pLqgQM0dpw3vY6vQko3lD61mHApajDjlgUrzEGct37ra3rCN7P0pB1O4hE2DKxwprztfoMC9 OxsnkIK11nZ2yVsz7ZKp4RiHdFP8FJhujqawIFaA/6ACgKtFj6lMY/b97b9rtohXMDl/vSPJ+kxH 55y98bD+/ZWxiy6NNayQynJotI/9bnmXgPSjdlviLJsClqsnE7Oq2LGrzM/l/RrKC/SyMDdkckVw d2bdznmsCG1wtufpW76nvO96jKt2yIfhHfDSGZSgcwI0Tg95WaPhl/2reg21Xmd94jDDFaXucWQ0 TTFVKE3LteT7QtYCI1q20bpYbK3BfdNK2fat3HuqNZMdJURz96Ww2VVfk5NoVK8TVu4FcXkLfRLL H11Aq8JGL+fxon8n/SxpKL+yrfiqt3kYaLPSuR8CpyeIeVNb7kAiUSVK7MgCt/OnCzajG6daAAve pGBrs9SzYRecvmmMWIyG6wrrtrgT9m1iZcW2xhyGiVuLSepPsv97M36c5/Ewx5yriBDExXRNKZG4 qDxJmEdwbX2kn9gSB4FY/cowKPNTxYH0JaNvC4YNAkiZlRNb979E4LmixJgorfU3YaXWh9Xh/dEo +rA/CmMm38EZjJQvVMsQMdheWO8En6BDagLph9QtrqG9MqBimZJgsKHhMD/3B33nI+rCVk881bjI 1mni7p9ChlVhNZJes0slOLk8hJFamhGibPag7uJHk1pInjL0DRE4Uy88ypTBpY2x5E0kyAmxl+dO 4EpJDJsxagmYkkFSXdbougO8h9+5KKlCdNCGeSjIg67jnMRAy2kwRr5jWh2UnU3db5/VWBjvoR// 7tDU6KShgZhd+0XBKWeb1FxJRjZB2Kn3WUevKP4tZuW03esvqi65IXedqUpuBK3SH2oaftU8O2KC /SCdNmJ+A0WtfAIoHtDdRE6bVZtw7OF6p2zPqmoEcC43H7eso9H1fA7yuS3nPpncag5dpq5mc0x6 KweLwpJM7TBzW74i8Vvpm1QZylLCcQH6HIvOi6KMVA298jrjWS27xsonalyTkJIpQKOI+dDtR/6H CBO3CaD9KUU17qUw27FkM3novvFKzAKz676XUt0lNp3ddrdZpFHGb8daykbdkC6XAuhGz2B8utmo mhPFZaBdddqAkAcrpRHI3pLr60xcVYuuFR5Z8tu8/5idTn75VlOmhr54ZN5XLn4smQp/JPi+/lb8 bMWsJpiOIfgRKfzXE2pYeYZIF7k0NDyd3GPyvgYis9l0PrKSAFTovKz9r2ZcmjqaD7w4Z86m9ui1 0Q1aSROt5+wMwQ+E0oMFXtU4K3KoHykZmUAPRxWgMjsFiacet9B5bYXfDW7bTt+KRTK8GZqrLOoo s8Mshp166N1lLTO6S3NlJHBv69nezDF7HvLR5NEOtactkcpGpvfrCjJPKPGffs1ubHYjYQo4RArW /MHqCUU+gLV8ydEBCu/IuuKEVevgVbejC68HNiKuQmSd0CFHQF58SUl73ZZZqivN0aIHfNlCYywt KlyqXUhku3GMSLpxSzloDqHZB9B44pSFPVZNj+YikYlavoXkYmG8X7WGATLqDSMRG3g+8ykpwCgU Uukmx16sB+4q6qU1aO1MRPhHNdk4N9SQr0M36zTBqqguz2e2I7soleJK1rMMRuJNCS0/cjxwSjh/ dzU58O3jS+DPRRXGLEDjwm/ZAysTWeI6/JGUxP2jPdxyG2zK61spCILb8u7XjYmlSpAWbqa3Udqg YpHX4TRvHlVJfYSxOCHIqh5RSF2P/wckQXsNzZllpzY9sjiO3+CMvDXpFFakvwB3+Pv8LPzB5xCI mz3OXCTqaF1E4wVA78l2VJSZKo8onJ96UK+sZxPylMawoZEdebxD8hteIMfWcwUIRZvzN+khvRFU 2kHIiWW0nLkC8HAeDyN03NYX14rkQ9ja04DhJq90Rh/IL3WjM7dGTBcohJjGm43mcYtizZCCqv1F TN2AkShLu8eIkoRcJD+8fugRAfrFwRfVmm9ZCYfxiZ8FwFp5Y8SA7utuJGEKRZ4mHp8cGvCbxzvT YHOdVDj1BJfZ331DPAei13TzPhoJIFAQ4eXxek7Rmniuv0L44Fo/3LFr8Do9zva/kSKaTfVEtcB1 gp0NyXQzKDdhfnjOqsG764tWEn9PX6y4ZdxpfZtZtXty8z5ah34U8f6rdnvW8S1NxymCDmekIfV2 fv2zw9uQpAvGfg7kZmiCzbXNgiGw8HKSF3FX5KAGowU2pHANjPG3U8FAwQB2H5ygv43TnnoDqN5L F69clLzaBM58HWz4ZDnJEO+bAyTeX3faqXlAE4LYQXqZMMY/1AUQPlZRI43q0pajYW8B1BrW5f/C 7Ri185Vk+h016wG+gPzwgvmxlS6SZHKzAirkMUl8rPs6jd8wmlQkNif4YlMeQHiOuxdzY9JuccTH Qch7ceMokIVBqAXLV9ofbhX+FB3vxTjjbomatpD1/QTCiypXwUzhhevjczAI3FZ5TBfMlVr7cSKD C5tRFxLXOa5sMtpyQAktpcPXwksGKXWEkB+KUFpAXhMCDTCfbFUaGupuhJkuUq18WTF9F67yyfyb qsPB0duhEtolrsiA7MrHDn2KgoaYdsWxxbtwQ9hirBbLcpLrbeYEvnQbAmCJ0/XzgoO2Kn0FqqCD 6q6ypKUV96Xyp4TpYbp1yco9/ZbauZN61JvBQyr9WcEj1F461A8LqEaBSNGN7mRjFzIG7TvvWXVc 1uskWBAyP+UTpgNRydFcooYM0Dh53E8QnbRL4jJsbeLRr3iBR2KbHVVmMwTGiWph2z5qUUwIC3io GEIjy3QpvrDZTAjddJrqQIenYhf7USsc8xJSxFr2gmPaXiMCkiXte+PkkaPxCulZ7X6hJvBKkCsr u0pWtI4dzph2XMhvCoAps1KA9aQFpbrCOBOiEJEjY+bNobvJxXXtQsIMftc68gVTea1YTHwurmnA ysZAi8/NRWDpQGhfTRSEwSou7uNKGkwyZ+Tb7c0Mf95eyr3tv/QLLzGwumTxow0SImk8HybYPkBh T+JVJuIHPdeI8AczAsCBSBGyIthrrjA77nut6ocNu8eiGBo/NYbDns693gO5wN2z7sCCfZLYAgfw Hm6oZ6j4zCLE646OXtuWflyfLhUlv2cpuxWo4t1PhSLitHaqhS8VX+cE4Cxsn9VPU9AQ6SApOaYD 8fJ9dihktJ7sDmheY5yH/AdfU6aeHV83v0rMsce1qJp87zMrxXNOYqafg7OaPX8/zpDqo8p6UtOg DzFuddVo5F153+whcgI2Ndx31zzg61rXpxhb5NBhKq+sUtO4ice4OD3iRurqNG2VSlA46j18LeSi JRxZ7BhlxYecc03S8qMrxypGVrG4ysD4TGRLjr9vnW3jPfBLXrHsMeEC7roFZigYSiLLnyu4FqZ5 +A+aj1w1YGgFWg/3SrNLMMneIF6+PsLlDdnztDZSQ5Wq08fZDApb7lKAp2e7pmcuBugEQk885hmq iV+wdwaLgwOPbSH0fDOe9o069iyxJncOx8Sy4eezkuos0SvRqSymPyTLYTR6h/UBbIisEPoQNO9s 4ptQLgdM62in8ysiv0qufCVci1hwq5dJy1f4FJrSFtWYbXybcMKyrEnBZzmhTnyu/z6dh1z8q2ix 2qCEWh5MIrcygGXbyLXied9EzjipbbqeJS3lfsbzvRiwstZdaXvSxO1YQQ2bo7kGYrwKdiLh2+D8 jS7+pa8aq99IHRRVIBg/1wLFTDeDJqaOkJyYGZzLSGCHF9QQtmoidaXLQCJa3iTJ7les7WSoauYS NJ54Kqo5rLLEb5u03P3Yqid2s+zEI8dxLpVc8RJ99T6QWSrEVAExX90YUK/e+XEZQhh7u41V7Ft2 i1V8D5fioECkf+fT6I+/ntuhQDXSb2zxS+WnBj5iLJ/vxfAHTiJo9TN5x5pjnCpCj5gtdWi89vlS sKD4Es1vTUMrbEje5+ECg9J+CGiP/pwG4qBqQ7jSmIvXNu5FjQbHtLhe+XmPiyG3yBQH2QEn4KUX zMK9kQQA7sBcttkrte6fyL3l7EG6m8S3L9wBH/v3GZT9hVmaXRhqI11KpFqEfmfPwOKCN5qidvlI yD07HBkQPfRRKGEbv0c7dTs1l8saw7kPLSLuYmOzKr7Iffl+DunlI8a+uM8Q50Ofhv37O83tPE1T IcS1Vpz8yZsXo6E12w6iIFAYym9iIiRNta0RyRs8LUb4QK5tCLMVBTDxU6wfJbBiXHHld0gjCR24 pri0JvOxWjGGJrBD6niK8P0wRBJrlOdI+L93cD38DahesdFtxKtj3wPc9lta9hwyv8ua5iv4SXQw 0+2VlYh/FQqWMYD8yLyHHeAWvok6/OwRpZbDQ6Yq10qErBC8qyXQkMEm/IzdcM/lk4V7/hGHHIl0 u1BQj57zamtEKGGE2LR447GJC6UmuJGtNi8LAAEzXQT63/DopC0mQ4yZDIxDgV5eY8IeVhzsWZLR tRi6F4EYq5jfT9qzWohm0wm/zXyxYHkV+oe8DSZOibyqP58FHyKUSU7AARkmqHaiCJwpK0v2xOjj 1RqqzQT4d3MRdcWcm+Q6/WzTR30A7gNCC2j9pYCMpEGLFhFN4dnyMupjfXYFh1af6XpUcgWvliiU u2/hj4DS30C1r+1dxxtpsPzmiLVViU3r2JQWg3ox0EhRXKH8XyWd/RK96nqmP/tHT51UBYrA3JNk ap/U2RzMvlD0+F6wN7ui2CaoXMtL+NsXn+2o6+UcMVf0TmFZU3MsrDLVAABXtzphv1gvmVqXeANf y0YKKgiWRqlsYziB+2dTZrZGjJcOc7qhNtDEFlqRO2Jzn7NN4ctA3QPrVs8ft+Lq2NkSPLefUfHD XT8NF5dUvBEyz9LMOlBEgH/IXBaZHyeGYNQO1SZxMJelJdnXz6bws6Fhf3gCPfshJQHFVjZoSa8M tnt+9hxx8TC4XIML2pQcfIrxujZ4RKtS7gv77gjBH8oC7RHDjL30QAiulhBa+wb/yKU7Mr/MTmKu 06mvS6Hl3+82eraEqt5BWoVE1uOR5d7XJlmyd+UMLH4h20CZoTL6QUQ95gqumNlmftSiB3Mc/tVo dH+lx3RTsesbG35+jYp/28JfftngKP7XrLtisqVDAtfr9lRpC0zVgFwQ9xpgeUg1xvkWhGkLYy/f Vq6+CKyQf5CapBVJD4CSJa4EJqOf5znsKxdPy7UvV+irb/P9wMm+tnBVO8nTC9xK19gB6bA72EZA LFRTyFoQkKS7mf0abCJ5sVRd6C+j/7TKlumYV3ceS8wc3ENa8YP0BWR6ACUZyBRO0FlkftyYdS0I g1qevAC0a+UwYOaWQ4+1ebQe2E8EydbGNSedCTNMQW2fapBA+AoOxfakcFOqDT7L3j+1MI93fkDm ptZL6MN0Tah1Py94hxp+d24EBECDvtZ6pNuhC7F3lZzWItXEVKL91YtKFcoucoQQd9K18UvLFFBm /+MFl/jxhp4aHqTLl7LZRNvLsfTqqomhjPhVH//cOXlb6mHOglFvd7p+mYGxFw+E4cjISBy7s84X tZR7oPsDLwKKBnqjJf9uou/qRLYQw5TauH5aBiRrD0iT5z27ljVcujAENVfhXFdMTNPPHXzRyT1F RSEb8Uaj/+I8H0QQTlC7w46xvagmtUpYntwM1FJTXPyY+Yka5675uqSzwfJbL9Mwrh1lm9/Aj5Jb 2mjO3XV94bNuKHSp8pxiiYVpt4aP/Zt5mL7I0LwlNzVig8l1u/0INCOOicYcsD8ss6kmutaX24L1 7AIiK1dHRkFDcUVlkA+ntotQor6+PvkWU7VgiEU7nP8cD3Ontv/h4l0X8Bw1ZO77xQhwcvjggJh5 pWRyVzgd7wOugNOrzcPfsEY07xPh4ge3DaA0Gcvm3UZog6eDLHZm6QUuLJdZ2KghtLfhc3rupvTA 3hcULliqEQNgJ2mq0uGKIh3wryIkGlTJ9xp6YKdbx/pbOPopKYm4eBbTUFzpUvpUnw85//4QmAro dUb/O7+Gi2J6AgqA7UKbj+Rlkw/wkopDYXCVv3+8mo9/FqqfPdcGeDIly9GgtgNa2iUPJZtkH5Lt axJR2hpZB6BjbkTYEBks2ccpBwmo44BilYIvgjhTpryxXA2tZb7Msff29fa/WsQPRlixlzleipkv SzFfP2GwSnrdK+Ruha8C+JFku0bS2dk7LnY7ADhupHiNsYM5PIB5z02IxTHTGbMibhwtd36uNxGP hJrmTxrFudtddK8se7O01AX9VtUmZOPCb6m6+T8oSziKG4xMjDHrzmLYT/2rNkbvvXP8hf7hWgBc OJXHS4tIiZfDbVBFmV6WR49RGobEcSLo9bMVQO3JN9tFlqXikmK/7Zsyvy6vLbEixcThTGWHaZeZ mavGo9LWWX4bvzm6kJnUYJfgvdMyvpCcnk5i0b4z4HFzjKzGKvaxiLYOUf96o0DG2RF3SZqFBz2j IGAIhllAndwYIubVPdpH1Ff7UYK8MJ/WWUh6OWhSlJWYUIbV8rajiqPcjDFlZsXx6zqbIujU5j1K iVQgcHwQzKgz8ep130dJk546sdjCOnNNQn9soI5SZw4UGQ1qrLIHD1mL26UZn8S8BWY0O61tb01A adw6Qj7pKjgJO74gN0kjsszsDi6UGsbcxqVJp+1BCJ0hVDX3/qLtbQhGuIE7/JDYzHJ451BsB80M M8XjwrbdITGaXXuV2toM1GhjFl9MF+KlXVRWSL0b4y0W0kQH0Ei6ZNP7l5Grsp1RmGwFYEozSMW+ ruZt9Jt/z6H4fy6FkYibH7YMEdUFqZmvwUkiCZVNmL6S+jNBULSmodI1lmvgKVdjKt5eOV4/aLel drk0brxN5K45vvcNDodHPQfHWBQYZLQ/PhQUoioZ4/7VlKq/eSmCHY/3TWLA70azMnNu59GiZhgz 8vCKngOG3vbW1HXOzPu+/P4jjdzglJNpXg2n/YKC65/CFfNhouOX/8kESIa5CtSQCXr5j5PuaJM3 wBFPBWaA+dPawix+o3RLzZhKP11QDJDg5N+HKVyxKtIZCSBN7pYRYe4zHf/o/H9JdCRrP9tIevSG 4VFOJEQZPX95bR1RgWKqN90RJd9s8mQRfqjM5UIu/0xTjUlgX2wgZmMc8P8bZS3yR6CGm/6+pZGF C+nYihZ9Z0mfCtgxn/aFDsjc/maxUfEUcUBtoKwVIr4DrP8NUiFluH+0k1sD+hMB9B4dZ1MVlJrP QmSMFRWs5XQadKwz2PzEoo6bWLwK+pY3QtjckSU+AtOXFw28CtABSHirO1+BwQrOUMS0Hcm9JzEJ Q2C5V0xpdkO2Y5whAA+UWtoMnuBNVKkR1/FMmGvuLju75vf2f0tBec7Kwjrt698IFJjx7CPjYw+L CRxm5GcZRK4SSxFAbI7ZTeMbHops2pSzBCloaRlOZ2AKf6RDu7321JhA8hvxlekz1mJwHFiwX4sG p8RQ1Yx2Y6hdZDL4dneJvjkSP9ikrRvE4w3mnj8kz/D3peCcxyzR/c8SYr/UPrpx+btn0HnFE/Mi fEGJlX9SAH+ltgLgICaVkinuJZy9cnj+n0EXzlJl5Rme0soGrEyqfnkpy6WyywQ0LPTefTMm4K+s eU7VrVTmmlm9V8wbs71S6YMrI2ZWc+7PEuREDfNdz8uCeK+Bu4ZszTN7xWMrPFfvfWKO+VPDYmSr /DdBV/5XDlhEihR8PsTWlhi8Heb6qm2IjeMEBG2pP0BaOzU5eJt9GJFVehrYSqGtAv+5q35lUuaX yOhdTj/45tjmdUSqqGjh5yE6kq3So+2rHsog8f8q3bFjZ1DUNSZuDAxBUqeBkcSxpMNbT28SiIY6 stq4JdxlvWps63IaK3ikldrDAGQoRMrg1CidmHytoJ0mCBFwP2hFXQZTx9Ai4TTTaLzsBByfMkwD fZtr//PZ1rfAVyiC+3H+A+s9tFYRtOxYef/8xr/zob51dyWIB/2bGQXdHqJ+NFXHufCkQXeJuhYb uH5i/qbSJc8qw3WDxSYU4Un5jaH+ZwAXAdtcYod97iZKbson/0pZ24j7u9WdAbsjtkYu0/TetgyT uaAPucbcDeI/gKjchFWN1I7cL7ANYVevNqki8f6aVFZ1AZHjjFKR2GBKa+gC1czDDV6lFcQZbwZB BlhpkkTKRm8WjTbZx55B4GX16WlG4PJr8nWz5KWt0uHcaBAgRsgoaWVZHgXbFSuadfTwSvntNCgl fIBea/PAyNeCHcAIGmIM9IJqE+WznSQEcKWkFwzmaHLs7N6ANu0QbPSv51crBA4rBD/bY5s5JOQa 2pT83ZaANLKba+6bgyo2i2Y2ghBu2ztXTmv9/o/QCqzArZAAAiKkoH9sCCbV9BZOzT0wZMrRSuOw q1mQa2xN2JjjFDrpd0NIVdVsT+Ubgx5atitLf2COUOeBw7HcpiXZPM4Mh9SiUeF48xBAaLJMRH4G 42uA/nW6z9/Mm7KezmqawZOnNxjrSlLrK8H9qy4Z+lMOf3zqdpP8q5OGlW53XIhit1A/Golq41TO ys0ND1TfP3HgTh1HF1DMs/TT6FeD4aHcM06fez5oafIJeQJtHWN3jTnDS0KRgwmRFOTTj5CZQNwB +B79Z82bk4AteHNitF8zScmQdaWTjzlrY2bZvzWwEFURIILSYDx297JU10mTxwexgULxJxOBOcLu KoS4kVph9foO52+pLyWPj/wQrFcjpWQyf44l1RScqZxHcTiqQsvwiFnGYTkcNO+mciGXQi/hbsG8 W7U5MaYAPAbF+Rpc6Qo+FEpOihyPVYM0t1i0aclOd25Vr4W8a8EDPz9qxq9isvEmqIrsRNZV8fr4 YI2LhfBuTdWh/SM1WeFna0vHJF3dMNq9YOuavrQmg3vCxbWL/oboEt3fEEu/k1shtVdhT8HXBgr9 upWCn/5dSQCrUHK3Ox/VoXlHzhCUNxEsUnhfJoKdBCBMkdosxHLLOJ4kXSDTnIDILEKvrOBJ8baV mU9MS1Qx22bMQr+u5bbEH7wG2gzxSnf5yYq0Rd0QC/6o2OOIZJrPjCmtZFc4cm1CrRV80xNssniF Ddv/y2UXJ4M3A3FVIo1WrMehrg8GCuE+gn5triwogtecds0qdXLBI57jnuyySZfE307MU49R9f6X 8CCyvTDdAQObkcEVhr5yACt2k2YK5j18kipkV6GT8MnmUReGRC9POFS1MwLzNYmQx9xYiBRrp2GY ms8iPT8ChLAeu6iGAZqjWKvXFpDLnw7/W7DQWcs6SbKY2UdjTWjmlw/4mpga9lnZA6GjRrY+N2YT LlUlyucOxRaieJ63rsrqvFBc273CsuZx53qy4TSSlIY95AZk4+K8Djj0zpcKdo4PZHr/QfgZktWa eCE9zxXrPEn/q3ktn0uiNq/5R3aU2l0WDSSrnYMe/RFfmohYlBAL5fAQXpU6VuePNXBgwqlR/rTz ltj+Loy0CZMxwExxXsJ3jryQKUbqg8oDAbtU0qqshnIuQ+SzP/PVWEA0PtEY0ff3R2/1XWIJOikd LFvrDXwrslTl2NQihd8Nsi/SKZlnkLSBLRdsZuM2Icyg8CJIsEObPALYoVF01yQcheSoSfB0woRU /5V6iKx2Vd2pnJysWV9UgpGPfJDXEbVlVDQtLhXm+J61is3HfCHMAhrpCoi6QbsdUCcYf1ouuT/V ouRO2Q5aZLbxY/PMA6NXL0fP0azvtywhR8jLrOC1Q39XLtLIbUB/NoVHVGevLpdBLYULq9p7zlQp E41wxapwKTtyNF8m3oUcmNVlbrXgYKG55Ol6WOQH2t6BaUlfvCMoiUGcz8gnczP7hXHfyK/0yS31 VXgJXn440Fk04IOtdsGbl2dsd4hAo2Q2JJuS4ADtyYIC8W9VnJzUuZLdJAm6ajgvONyX+8jOCo+V JhK10eF+eqjplls29jHJwGzn2D5gKfU9UOzcNJBdqUSwGOHMujYYXxHHDPrCIt8aDup6wjzmhEg9 jvFZ5IsS2WGuL95LOvEjCFAQ75oM+pKjV8bgm0dN/QBApmNsfKeXcE75Pv/KAqXa080+wwo0y+FK FODPSrHPtQXzDgrw+ekbhc1eNRQKSZ/8CjPDMyztki6b9Sj2BN2LLFOC88AcqvdGa7xcNFmwxNva L4O2aBrbVbr5Wct9iM+5OmXDJ58sKjshfOgPF55NXtDiFG1cO0TgoB3eh+8o6eQomkryt5lfbSc3 OEr93ncUpWbOs/J/ztI4AkFB+AT9SrtZTRcunv6+pHOI7yyCcfBp2EMSatIm6Ue4KBBmRIBBQUMg ag+htUz1PbI80yHKctQnng3tZJr98GO6rrD+ONrDiY3TbDZe4EW1Kyi/GeQTf6fb+/KfZ1h8Soy0 7aHu82618EPu5A2NJ8ftCI5JgK0HPpfX3Nko4MhQEMFA9QXeyXmDBMu4B4V2wMZuhJ4OTtRUMWff vVGBGCMV13jXH7H4Z4d/FinwQi+HeaR9dpFk6tmHK3PgYkuNmheovsL3a+8EhsSi7sMA3Znip5VO xUCS1azRR1eWVmG+5AKFUUHjQ9EaEvZXnjwjTqS6GhTwU73k2Ypr0tiCHJ/S7JX4tT3oYPaPgsqQ S03f/UwIRhUNAS7ynPOyOOT0c8RincpjSGuWxa2G5VYXzBHNa7tTitlsc2Js4s/vJW8Nd7yeV8Jb CJw/ib8KTQwFmLp1GBA/ORZbHSPqas4p83x1LNvlo9MpH+gOrmTOtPEVzBMmjC8YZelbq50vVBYE w4IjYezzJ+w3FyBRyl7R3EVk7wTm3wY/RC7RUjvUvMKvyFEnuYEb01dc5XpckQuGmpoxuRfNGoqW TFVwMkuOdz3Kr/QELuBBkcpJX826KF1fsAh5TvJ1GMd49BHB+I6yqwjgPa2emZmftkyjxtBdwq+k mbXPeZy0516kwuDON1EVwqt4IHVN1Q/t98BMyZ7C06n6wP8qVKuTSEK7L3ZFfbiIwHUF0XqSwJIB nmmGG1wQ5uWs1fMQ4mE1IS2zozMzd7oatARZvF57+JR9tgT8B5Y0f3UfuIm3xRj4ZM7HXs+oS6Q1 UqCIVhp77Y804iphOFhbKhuCBeI5mv/K7sIqbBWSFftLi+jNJ+XRphyuoPh4+qRhNNUSnc8A2npZ w8dWWh9v/h5VoTgaDJZEyf+qA81z8fCb5+tURv4SUoKfb3zLf8dGF4MhU+5jU0xwKmgFrSyYR7gX 13zIRTswmjGydjkPbuA7wJjtb9olPcQGDcX+BGg3x3dwL2lPC5wM8BYBxq+QXmTtS0ugQBKtmoSp bsiW3+zgsaH9oF4ouybKUhPtep2XLdeMfZprlZHsbZOD4HUanWii6zo+B5knROPHHDItr4V3qLbt 0G0vHNySxW2xjPje4KCCo+S+VFQ2MQBDiNit6xDuf2FBevw2nUsSuaKij2eiyIzRNh7spKL+2jOZ jJfU9Dz6pzw/tlPTELhDtwvargNUTd+4cUbFwpiRHgm4SX/QD6oBFtW1KjqYqZZSj4oA/hA98TOg dBLJedfQALQ3q0JiqqWM4duJd6OTKRegGZYg/52na0rGNgehyUgHX+9AF4/mvSQyioZmKy3LLnQl cUb6oKBEMF2Ab3QuEvAkMwlAtysiypmKCIcqf+fD2x3k83xJn2isgLA9SvG94mXjFNzzU5hbIYr7 i5zSzgVtZmCxSPNKrYSJIzSKy6zZ6bgG4tNs7ZNAmiofcapF+YQ7YcMcBKKN0alTz2Edkx2g5TGf LVCYhPsgPnL4vKVBjDVEax/Qud8YaST1p22QcCVxxj4lBjReCaCQqaffxxRjuyko9XxAGEq/MJkX zzzmOXePsgO24Y18M4M3+eW53WFnLK2BA9epapgz3VWy2BLwXffcz7HWXtYNJP1R4tfDAkpnA++V EKAYP1eJuRBM8MMJp35/0ymmoBEZ56HNjTqaE4tmq0dW4Kz3masqa6XAY2jfHtcLdYY46+xZz0iy NsAPLXCplfWsnmg9j6Jl4bwKMC+jCD/hvXRREALBp7bC3VpHoPkcFDa3o8ovwPCA0P+8jBcXm9/e FvO6gjslh6eChyXcnTeFjYt+DeMRKG/TgbTgL6aF3zatqqyU2ASG9KbxLLPE7B2sz0HDSMVztzPa g2xUvT46u0XXU/ZBfakPumoiIOTwDxzpPVo39OIFIUKWQWIpL/6zgG9heve5x4LkqoVZXvHoVBYC EB1AugFHnPFYn1C6/6DzNztLN/JEz1iOdhr76y0TRorrVdO1gVs0sbP3MTdiZuZ05DFFIKEc2rX1 oVXFj2LB8B9o72AiMmHY9e60Hma6TOmjwKsimx1NMXtvAPG6yJbkAMfsiLW6KqayY2HC/S3IO/T2 ginC+0YbWaskYNwPeDwyr7b81SRsFCOkYvEHh4BfBxQl3OzTCbwVAbZ7euJmQXKId785SVVKKoTW 9CKSlzqwHaR3qMoQcMpQ2ZkCyi1F5Dx1Q2XFKZUsWHIpEyXwBeF9sNXfVAaqmO6oxLhtiSovHC2G 9DfvQYVzjinQD2WoCGC+liXk83X6HJT144UEpHxlp8MjTxF3fnpN2JLBOHeY7h+P77dVsD/s6OwO XvqKKYd9ovOQpTkkHuRqdIytgQnsbyKd9FlImb885DVcy0ErhLOl5NfuL53C3OUigBMyvfZ/oEvg ObTAXyT3opu4D7FtrNd3dFyWjkjdDR+yv2zmPkxJebUvpOHxNcRBCJ49kFB9sUlwdfMLVggkGW/0 NnpU0Q23I/arlB55IX7ZJnIInep4gcawpRG15sZtYHCMrbkbFaUUWmKiZuJ7scq0rQ9XRv9biOcR NKhL0qDTjAqypuMRNUEafXLHILGtvQQRlSg25qtbsNchE0H5Wz4CZMOy4n3a2c2dRbvfvo/rqmv6 Vj3cvICK+mfC/7Zs/jRT+yVld1e2lE8/j2yrxGRq1EKsOBS3C3cJMZnyg+K1h89/f2zv+D+wWEjx r80Pedh1ruq070IwUdYcVQleLPUXOzFuXE70xvwn3dfVnbYDmiuR1d1WKz2hbUnqUhijnWE7osQj El6eDkdGPvQ+CbCaC4osIqspR4Szb4tjuR1rMXgZ0ciF0br8zVSycg8f48btf/dtDAMXEm6ItzN4 Ele8D2xPUDTBs1ydf/o2E0tldd6seUnQzA69GNKTsBUWHbGgF7hEqld4K0jgRO4hVq58JJEE4URh /mLMhUHFlZAwe/2kfiMkhOjOMxbJb3FBkkIrd+5AhhoHuuEqcjWN/GXd8gSiw0eCA/IrsrdZ4b9W VRjsP0Aw7JUahkWRW2I+YAElEVYeli5qCOn0Z7mMcgUcQZk1MeR38m0M46LKMvJlgwB1jj1LUAvW kwVphNstNgP8SAHhHnjF9+cus+aJVJp+S9UkIKXmLwA4JP8j70u/egA1XBe8+jMBWV9o5vCJ3tdk /UR1Ueo5EKmgQHZKePa6yH+pUfpWtRNopW7sd29yFKP3PE4nrSTYNtkvgayQBjFGvETr8GA2naof 9IxcWa6LSa5FApG069062U37I++2H+NQUZn308ZOotuoHodO8aBusOcQW40wfhHoOhHXt8Ruyujj nRTj2lB4vOqM3TegI0+3PZBJxmfXPd60i7183kpzOjo7Pw9INzVduS4fPtBjSd1AZedWu2rCOGgJ 3nH1dgaBzA2c9FA7guu8nhzXyHWo2/F01XARLAKw27ILanqg27zfl3WzPoHD06P3Ey81N93+1RWt jyNwx+c6IjPcERUOtvNCAXcbiy+GSJDLVr4OfZ00mV3p+JFSvwW+tzUb1mfLpxqyxmz9PKUXm2/3 Z1H3X6LC6PAmzWVu2Pd2RrSliIn9vTdPEzyxLZegmJKBsU24c1Ap/ok0KrGdyLK9m/gjeJW5e6NU WNNSFtHEFr6DMXHqKOcf1VSPL7rJyh+kUq7Ja0MyPzeoUD5ToPbdDi8H+WOsixykoqzAdqzMSPX/ 6D8kEdSoksoqrWmKVzzWJt0IRkqDzhCaEeYWB0u+8VoCNszfl5Vt82RZ9kNEnO+jvEfIenrIcyYK Ox9d6jCFIOEsh3oz+djEpyJmWZhPUPAkSTZq1b42GoAJgwK4m39SYE3QLKmBLEmS3gj+5Sk2ld00 QCAqUWJs21YvtB9zEP8M2ro0jTBD3mjyoYBPpnAF5U7Y6b2Bf/y2YlVsGiJQ2D2i06eCSvNOpWJa k7jrvzbTPwJmoMhbRBg+YebZn+XZuE+VIpBaFgq+grGI4ChSaw6lEzXYVNslJKie9QZf6vAPY3mA QNAIgPSKdp8mkTlT85RAY2017T2ekexxdiu22hxc4Uuwu1WSFtGLrvZSZWuN3v732fvjLGDDG0J0 CKGGdvu3SJg1T5Y+kseI6YEj7odZxfEG/j5oyaGKTDRgjx7naa98N4RO7DE1GfQM8ojn34+V9FoT /MH+5h8HCjuHBEbb5VBXkjX/Er6oYZrw6IL5VyqEvivDbC0Nl5VtKLi5ZKLuEtWn/CZEjRGaloJS ykeUacmeKHKQKbPmXLBbHR7e3TBzjyAQytHG7Ffsd7/DSnbPB3yY2GozpoWtgKTmlWDbuJTn1DT4 ix55XFOg3ht1PB/5P28Ra37SwM3vMICO3QQGurdjOdrxuBhLOCc6y8Q0xSJXjrxgCEu45V86xwBN WXw3UJXHl8gJD9PiURMrD/u3f/ZcgRy3xNl2RgB0raCZKmKRb1JOm1zQaDA46dZf4x0A4fjVkm3/ 8zSJPFYwLFyIY7hYseqCBRuCUKMiHXxdEBlAQUV88ARrZCbl9I0tLxbdo0OAxJVkcxFhQGQ/VAou /ccI52JoEoo3IGnaa1MpT7YVzb54Em8rELP3X+ozTvGn7Vx8KAWOssQOiFxOCXoTM+mqIMlZmr2r bY+9A2hdv2/Vh9tsEgjmhb230iVCK/0KZz/AhGtfBBR7gKU82iED6r9nhdGXZ60HcEqF0zSJQvBJ WWsNnGJS9ZJ/PIW/Lt1Xeo7vyS+feo0IJEWmqIhyjTviWlaqiZZlSZCA3B6k4UOyhRHsBxWoLN1Q TzWcjc3aqK0zIJm//Z/S/G2hp7O9ivyL25P8T/oOmLNhEzTYoeQZh907E64LWzZ3KdPTCP/TXV97 Kc1PTyFNFU1Zp0zfEpLNQGjLILXQAPXNMA2Lp0SC149Hup0I/bmXbfJL7MhLlk7C8IVt4qI28QBK /p/W/saTWFncqzGzp+iREV6f+hhigxDMAMB8pORBFRkNR2j4y7nFbRmQmyMpjb8baCsi+j9azabi CMq04yzvb0Pvdy9uSVsGCm47Y/YcYur5UAv0vGX78BSIm8SX0v+uTWte4d4lcz+s0tN9+NkFIPjn 6oLCRqWqOEmk8+wgLhqrTpBe4MYi+TtXclQzE6TY1AdYwpWc89BWtK6ns1z8K75KuFx0VtWyM8Xc YHInhLhVhaqsweJDNbAZ4Rd9BOKzZJh7hpyl5FBk9JAceFN4wfuOrjjMJJE650ais5jLl+WHeC4Y l3yyHSfkMuT+7KTllLKm32h0bvMsbyA3PP1opOIGAH42BqYU4UeMsKQyIFdqA97oxoFlXA31ItCp BAnkwgmewMwfdDJJW4zn3Y9aWIz+t/4pBHM/f2Hezuv2nNQWRKrkneuxlmaTsiuzbTtHfyWH/PC2 HL8ldQH9RxwPwW85p5yiQC/t3Ud+BbcIQkkUkns4J9jJmVGw4TMHZRTDrWNBms3HmHPSuEAoEis9 5uGlEtvi/eVgaxmu/Q8BLu2d119JfAmZUeuef7QHRb+rgrRkIJaNsGKfR9PiVQwbilqibBPiGPPg M4sNVrV5ADYkmA7uKD7b6sAlnH3hPobvgCSKoPcYSGWLmSmbobrVn/LjbTtYZA56RV3Ji7+MuQ9Z VA8xEJSvtNonOahvBTi39uBGLVqzhS3lhIofW6tW28aJgNW3dKRS1r3qQ40ATh3sduPBGEMLFhlw wDgHJeRNibhZyCiPUE6cFypS76L/XcLcrNuGOSgyICDgqoqMIz49xte7d8qnGkiy9XhYZPqjSRNp UR19/9ovPDd4CsAlakpRca/SZabr4Jkqt3zZSf2rFzUeml6vIklSXmnQh/4a6wofPgcXjW8Q728L YEtMck9/COBluICu2uScqpDWDGeYmrT08DnQ6m9/bLmZyXqJqjstSvhyGIOwgIkhQJIRc46wLmn0 Lx2ecbHl0bhYiDTEDNfN2MNaHYaVMlU2W8ezY1pTURmuNMpgTmBcD8JuGZgJw3cw0zBgpjWUKoE8 aIDZ1RsME8xRncPkK1rh00J2oZEYrNs2PolwzfVLno9iLZyuDWHbZZ8vfezQxEPoLCzwmE5tQBaI p8PS4EQ2DQU9AUH/4Opfqhrm2g0KcX6PJbvnx89+nIagBrpUJPXWEkzRy+Wn+8vgbkI3pmGG/W4d S+ViGRR6zAM7/DBc12bPV52hvBK4/+HR2tYNaqJO32y4W5b5pyOX0ybt3ZYtQzOi5Eyzp7VOUg4s I6uo79nazqX6pXnuCVOLbUAY33JVB2M3PRHYQxvTNC/mo3MUeaeM7ZjJrWQorTfcSSILZZWXZIbK pmG2mCRYW4gDGsOcvJ2BMfuLOMls8ammuS5ZS1G2sHoPj/d3rKcq4QSzP+gjz5IkykosNYw6qIeX COekvGivbvula+0bsgypvbyB8cvTjG35ZMIXze/lEhwAPGo9QwB8C1a5G2YGF/WBYtuKFKLVbzPD uDjpBJTmIvZxuTvPS3wGe5ruaCv/q8JDNVn3ZNInjwMMIPsFq5szFt5tXI7XPpgLH75UYYKjClXG Fb+eLHOfQfgY/GrfbRcuDLJRmACwhXpegU0iduIJNNjoeSBbBQRBjGAk6dzrbZys+3TEu4oAerU8 hWfuUkfAH+/KfHAFnOVOhYsOfmzutZ6v1E79ish7bCtndiz4PTkYH8wqqXpkxeSMoBB/rjb7oriy YmsMEIOBMaBEtU152gjzGZleOJO4jhRJB3Ae10lstyjw4SH9Y82efnmkrqpqwjKXlm7k0qB1XgLD GaJKzfqAUHF0FZ71yks8gJ0Xx6FnZWPIQYP9zroyb8ALxa4kjCIPD9vlbQ5+22rgIAlaEgKLxeeV CdP5YFM7VaAzP3GSAU+v/zQNQ32GEynaQegNf9WUwVpWfySYX7KPnkHQYQNN/5uHEO4BStr7FKpB 1LBlA08I+xAFjwQpLoCfGa8QPypK+esR/JpoaEcOJHFEdGVvSUT9hW0YV+WY3Z+7mNQqamH6g1Vi DMBifZZze9WMOREyXgavrtsHwxlpt5+BG7VGLONh7U1nSdiWeA2aulZW7uJBU0G+ZIvKJyHhygtI F2BM0mcOjlPyml/lpJRFsdyXuD+zwIplIsJNzktNH+l39IcvOB5RMi7do0iKHZO+ZAD0XD8YdTbZ zOp0jbpPsruH6pjYUoNVX6zSOG7ExUctCLc+ajoe91M/qO9xbGZnmyjxiQpWLdbhHyhZzemBygbn OZ2m+nnUUkjnDYMNURHcG/PhrvzN/8krFMU21uixlAeoexyjkL4eWgzPvCsbf4c6/cQwRQ8VjoHi siOiXOqzmwdZbxI2gse4f7/qHUxtZHxvG5428YTxi3xxj74J0w+KFFsviFlmxJyr5GfxDBtk4ZOi ghwp3k0/u0ca9eIYZy2DhI7J+MW/RUWQr1idclujWq0x2Fh48rDked7F26JZYDOTUj+8LrVX62C9 STHXVflUVXrVuwO5FqjXVnlA92L6ziEvt7tEHsNahp025N4T6wguiEAq3mmqR5JNB5hJD10cBjdF hgpxMKI9MeN4vOPwmQyUr6jzgXgUhBW1P86wrECrg7K/LrI8axkS1gNVAGuNkjiCnlM+wyyvombn BpYmCq0Ulw5AA0qeo/D3Mn1G7u4PU7F77mkqUnuUj072+bydfGAWK2hDKHFbT8sb1jLIBmX7Rm5w YbwZPSyKI8H8GfuCl99miRdXdqTDdPWrGCVkuqo8/6GPhl0UlnaHGQqXPDa0UGOwMRhlBe9svDIe sqJxpU9eNka6vI8uBRnqDxhZR235zC9qhBVkZT19fOnFx0Qu4tPmxQnDKElDlojqlK8xg1VEgCWW xGFJUV9v96ed77P68K4zC6NTGbjzkOrv779ZieWAOESABrKKgWl/sfJYKDtmyNnKOPg4YHqwGgHn fNML4eLS/E8gungMc5zUFgirIit0xIQDWZPXVZ1IPzAOy7b00LdpT0glE/SI9IIjCCHTBrGaFyIX rto/4vCXWXVt/llmnOlRww7vD1NijrQKnk+H3PWrmVmyVhS66//VioPOEDq3FkPNtKMUFYC9+wB+ K7sH12VOgxFtf6ahBREZqDuLr7nXsQtjKTmuseqgLX0n2a1/zcigOh+oyagargcsJgARFzhK6XK9 K1jIE3MSrrVmj/CGBwllZ2Lue1flpKeCWb9wtd24qzKAFP5s3Ah4qiDxluoLF0ljt6+/tXJb8/Mb EIoyYPddd4U1VRd+pRtdq1WPIRG984pY0Hij49neu0EY6ofY2pGfJIABuI4oYcrQU+zwBeyMXwCU ny2rsB0QvYb3qg1C3w/SI1Rfk5Y/l4J0iSJmNIWT8tBlkB3cUrNaL5qcDCYMsF0acBv4XUP/4UIj jaDYNOJD73uCk9nCEgoXylYo9qpTCo0XgjjFP5qE+X9a8RtlrDZHBeQIONqYHi4HbfVGt3OhtpSD TQmQ5vFvqRhvgIzqVTmP2wntvEqtd6VBHL1tnY0CkOSxcYo2dPT2fP5eYEazXy6R38j0RFnIv2TP xD9jXRei42nVYsdCLvVyEOoJOsdy1907XoBnkCfZLBYfrXfxzi+zkKjwCaeqQ3iT1XGD/Fhgu+7t +CuSB5WR3B6owBsGEcf7sVRwTMoODKn8rrcv/hKOab8h4VBWYJpDTG0miAaFtfRMNKsqnAY41OKU 25wDN8l3FfuBZV61nCA3k8Ten6hxW1adeJpHEhtqXgFyQmrrwi27IPhUAqoAXTKO9LFfjDqAiB+u rhpMzcH6RuZ0El/oQqlyjrE0VBaTjxhDanFj/5RnSJ52/7F9RL13oBLRj6BjSKWupKfTZkcDDlQx fXqtl0X0rVcJks86E+9BxW8JoXWalJi89jXtnRiXacn+1SsyuTD6oGuPt0LVwEXDlKfAnNDJUHzM zbcrAMLK4PnfoDzOt1IjnbvQLAxeKFAU34Jb9njpGC/nfAdAz10hPivrapk09seHIipIKTK6VbyR vpsHnkmHrut9mzULxYYh12U/cbk6/Vrv4fOK66y+IDbM261br4MI6J74l54Troi9P6Up2a60+V0q /gPiPNGn6mN1PO3clXZR77UiC369GW8fCJm7bpqsEE8m+IO2QmiVBU1C8hA4I/8bb6S4OZoonGgo pQw2gBILeBKkQb1ugvPylA82LJH5/VTnyAQLKCDz7pK/4DmN7ezW7xkwGwFLG3cUMo4+wzhVg6j5 ThlABV8WjVSFGYVkOgvaT/ySZToo6nOamGwJaUI5NqVMQ7VSrxl+lKnincVULe3AaUHrMFMSzLOA 71Gaj+Qu9h0cite3vQOw8KxSVJij7ATeXnGklFVuAIlrNfw5mFL8U+iTrcF2ZJPEJ+jin5ESwtMM 5O8nM2HWF8el0IahOe6hTHDqdYLu2XtWHC53xiCKhsAMO+281UM1G7PRfXH6i/PJPivjfz/2cd1I omyrQltJtHvVNGTuStzi4Q0zANDsG6+DJQxEnjfOIcLi8IGIqF+7nkKv2hzFxu7PJ5mYYo9DLxJV S0MVJuiy/AqLeplAcU79JiuVkQKWGbikDe3hDYCtyeMHLzmEQT3HTTx0f37Pdwo1dKvOoZOPIbiz vA4FnUBX4TdruOSiadvMfy5b3jdOUSivE6YovsZ95v87yRgd2ui84C1xCsMOrbKt8HwfToCkYn1w Vdtx2ThFPEQyxBh5cen7KTq4g9w3VhkywyUqYrK31GcNbSBsbXRsNqeJ4JXmpCK/JxRwiJAoXJWm J9fhZbEfCYzRkYBvzVx4bcLLtdt4iLWs5m5kCuiE2LCZUooJSme0ZLAIYvSPtLnjkI99NEKIlfRu aNeVg790SeIn2GV02RWfGMhnj+0CQXIn0iG/P5DYkfWfJRqmkemsPSEu2sZzriKLsRRUtR1VqJ/6 LePpXsp8iijfMkBCY07Z0UqMFnWCIwYXzreUdWj7UEk/Tcb1zecYTgdz2UUJ3/y6OgfLN5faPqp+ Vyqqf/9y29LlKw5psXPMzDqvCVML+4Ndd9/3i1hS4U0tAPFR/VTWZ25OxUx6dy8xVu8vfQ5uYX2J CwUiGUkeB5y86tdCL+V+SNloEhd2qFQnKMuVczUGXSbUQ/mQSTENdV9Otttm3Z+UZ3104QxCAnFa rGPiW4V50rx6f1GbpxCkgKyQkdL4ji/lnBELHu371Mg2XunNaWyFa9ba8WnR/xC6ai3gtm5gHZ04 AWLC3DFGrQE+BquIbL+EoAQH6rzXXkbsGPX2/NfssNrB0L+GvK/fyECXUzWsMbR41OqvbcaRt1C8 CrOWMibzTku4Cq5QC4sMkiu+4oGDHDHBPsIzUdgceP0zfYNeXYV6wnlBqQsyrH3ud0MGu9fDh5Gq uMBYgEinYXuRKwIaASLp18Ab6Qp1cZt7bYJUAMDJRTqx+H2iFaDzyX/shIF4rzJILXmnOVI9qK4M A/kpy+fbPKM6CXRlF6g/FtNQW7x1JtWvV+tuRCB+KB7ORJMbWn7v2qCfjzgclRUh+BY36ZO7Nsou Ot30mpG+J1iShCT7CSfQOQuA1EdELxcP3ORNAUJa7VE6oDP1tGMn05iLotVeP7qF23A6ajcxK050 QhQP5ydy9EpBaKeLMoQofO/rjBtCHjqeARA7aEWa+O/Q3Nlu1SMTYkTJGLc649f8AVZRFX7pmST3 U6saLTgJDzCVgXLU/BS2GLPb7PKrLnz3lqswCBYDbiKHZYyfh7CQnKj3vRtIEIxT+FY/3SgwnKSD 8l00zyon2iZGdUq1isr5a5wrthh4CqFYW9JXSn3gg/YIHVjo8SIf37p/qi5/+BDnfzBKKsjlrRZg j0s5fu2ukNlUHFmDYxCEr/r1HKLeeVARgdH+U9EDN+xQOz5+geIQiO91DantBndP7z/4nLB18+IM eKCGpPSQQbl08u9QmjVEOeOm/4F0pnV8SuAlWqIN7eqnIVp9wNd4Rp6zRR9BOJx3LaXOY22PF8gk YWeJrUnlxww0n7P6lVeMfWa2g79wp253CHCOK3f3K+Yi7GwVVvED5RRh3uuZ2ahlNqmGdCGnLqCv ELGxA9SOp9ZUHQ/ykQ24Dvg129VlKItNxrpA8rp1uuJRV74JB5qk1a6p3IECLhsTkIxBmWvxjalf 9ykoT59Ek0pl3ifihoUkzzwf07SJpR2NpP6TRWLvNg5FsxY4bR676lZDhnEme3sjktM+bt8pNwP1 x+MHQde+Okd7cBdIejiBZWzt8Y2ju46e7G5rjxsL0toz2HfiI5/Z44F5U0qsjuLxRCzGAH7mkSvN Wwbhsu6ONK/yOWFQizxmkp+HqvlVIhQTKdVTeWN+LrzpJ3wnM6YJ+zUI7F0ICn2YcJfqiwJdW2aJ xf3OZGpFleK9KQyb1tULEZN2b00jS/VAOdfhahWJWD7q7l3cQmUXQVIiSYPLGLqbkvjfE0QgbyAf ypwjpNJ4JBnuLrgYXYtkW6JiEeakQEIz6YsN+qcvSdXklnqyOR90dhI0vDqt9oL0H8clVh5/RJ3w t51CRGlyqelirNLt6nVJkYe2YUDwkI+4q+8nQbHlWwKAFPCtyQA/3X7CueyucfmwuZ9U4n0P9gL9 LPl8j2t2wn5ZQpSrNnNo35D9LPHBDHexXQyY6Cjrz2/3i32rQ9R+TlNnIc9CqZqyhnRXJ/Rvc166 aOH/T9T4wS3gbx/j9ZBP+d5d74esb2YZaI0iBzFFtlmNW+CmvVIdR30vMVoiSob8Hz8Hz1IgpwcO k+Jn8kRlJ7eV52DzbSU8qN0rfjqWFWGtSpWaz7zw39hvZbWdy12l3z1OQawBUp5xzw7tOblyTtF2 ZaK347iZrUnRTcnWnK5gxxM4H8n1+fhtvCkgLF9dNjGSn/8zWzOeGou6x6eRKN/BhtznEolCsJzi dRgWed9zTJrsYED8gYpjhozY3n7tU7xjjeTrnzdfwc2ykfLRhhCYVQdhXuWWbfEVRNYewmOZEJmt vzRZpJT3IlhVeEKdG5fAJFSuMSw3tJ+HaQ8ZX+ZTZeNySO/B7NcLxJUagM6Jt8+IyUiXXpYZUgzB Dg1vfYDtMwbuktDS9tNtbCqfQLFENT7kPwpVxLJHINslBhgV4z5At9EGq2UCF0JIBOVi29PjJlGN VNheCND3xWvVtpkyqSVIITA5AW1XYq5+GmEK/iMB3F82xIVv8t+T7GyFX7V6gWYN0+SqqgcB4Yye SWMa5FUsgRRfOYzxHcz7DmG5fI7uDoCGdzrt6ZxG35RXqBCjtoyfEka9SKzmlf+hGJWWQNH0mg6c PWfsFAt2SZW6r7G6P+nb6CDj/fdye4OZrQ88rUoOHzgIfhDq1nlh4DXaMzIqB5FgdC+PSNp0mCnS hO/W45uYwhlfa+CeggyrBjXvBaspUa6vp48uWdwJPyGICmT+JC7LDLiAEmKHLuGobmBuMnqpvIj+ /fcovmNnlK/owdmVCC4ELzFl8OdZQwpQd9WayAAOJsOEAo3fBuGTX5EBVReQ07cSC7fHHepNtHDz 1tQF4C/E6f63qMrPs9K9RV8GCFLCVcnflluanazvHSLe+Z7fyuTongMlGeAUHsJtjB/abySFsAgF bj8L1E3xxHF4WytD0q118sOyYt3Y/mrDLcwUB9G5Sv6RK/1MO+1/nZf/EX+1vLDxcR5a4u9zSyqu lh/E6JUTkfqANPKhmnoOwDRyP3eNOsq8WjxhSXAztol44IosIJzyzmzKBFyh4GSPSGf0uRJSi+TP 1KjUvHby4B26lz60BfHYl0nLJG2vfbVSjGKx//ouIsS/JdNHkbMkIeuiaNmeNs2tMIRkcuRSveqF erzvTwOQocjK/sir3nhW54wwWJPL+Q9ef3G3ZNjQ4TkTV8kLuGSgZlWC/9Au5Y1+/EhXPWSjXp3m yqxNG3qKMHtSrML3MsipWF8qQlfz284IQptNweF/Q2fUmvsvTzK2bJM8etNi62buMG71jcUuzz8w vvTCMGB2W7n3/uxTXU1m48iSsnWUpWsjSm+o0X0eB1W6eN5yIKZdopq6NBJLv8ZoASuavOtzrv10 HqsK9MkpVKuvYCdKZgFLP6dgoEIGvlFaoJZJFKoNWP7wRoNw66drueCOjdNCMD4YIPTkZVSK06Qz Nd/VR1SLEhHgqinhDCn0y/oQXbBmcQlz2ORP4/c+EunBNKNVzG3jHK8ifKlzx5V1CcRDXMMot7Sq XuZ4MmHcaqfVDyCoujerQytfjsuQ+6lJkwOJfNsRnM3WUaYy0QUlsbWQFnrnzfxs9GMzwfVhqKgZ 7eDrR/Hp/Imhj4QRwljn/qlpb/p5RgRRIsNrGkcYu1ng86kgVuVAcO+IdzZbAQAVyFYn1vucvSq7 Z8IOMuKeix7G1Xl+0O1I5upBW97lZR3QPW1pRlJwkpxHF3EELjRiMrBEl6+olEwvNy9oq6MCQBeb 5hwmSpK8yxTBvzjlyktp9uoWpqiuRDqtvyJH6qjRjS2t1086LRnEw17pp0LkEEm4Gzh4igd3oOsN 3haiwFoMKkvWZRomh+Yj+Y7yOYTrSVxP6lTelFxlk3P229Z11SWekpqkpaHBxJ6x4tgbo/4xUxaF M+CvCd4q+dioyuFzr0ggs8tDGmNDC9oStb7BcuLykDrpLvbYs9IQuYrIZFJaJSttkhm7rzaELZwr gMOOixniPXh642zPBuv6KtCk/SVVSisojOrhdlJM61X5Xfm0rvV8eSLaO4IrJygxET1BSw7NUrYm +3JuhHwMhhTrgTHvvb/ZhksDQQhvrVMsNKY9qULu3ljxXmWMqtb82+6SeMNryhyOeTZ2Ydnv1+3L sCEOVKA5bBizRArfOisU6P9GVUu7ldc9vcqfreI0C7sH6b2GUQtGnS/zWITCjMBZLHFLtJE7xTXr wPykaOdplTGonDFPzBqjBk3KvSTOIXHSsONftRjpcYdhlnrh8qe3SZ/1CHVBpy433SWe7eSlHzyu tQ+/rcKmnJu+WS2owramQGgQVbb4sjGMufD7H94PbJlNCy7px9uQVZnKSlYAw5wHE5xGShaA6mbi u/GiqZcuyTeGMxEbKW6+KgXdQVLHieJPf6lpbueC2jigGmvNpFeALl4a0UgziAfa24EEcriVIK6B TUCyKhEx7qqiRv6RaPAloPYaqPi2uwS7mkkRRS1IMouJ9Ik5NV++w+Qhf7G68N/WdLc0IJdbH+es uyo1AaxpSc7qobY0y7BCWpWZCwIg0YcaF/Q5V2qShH4D9r88FsDVS/dCnnFTcdX0pLu8D0sGxvt2 w+FqMEjrYvVB1RDYjnQbOb4tSFGSiYYMbTcKikhzawpanzVfiIPB5M3Sl/xcqJJcruC0ftMafv8S UXg6iNzd0aWx+3w007uI2VO31fl9tpdeeSwv/GHu3gCbzwXlSWeMRR+80w8v8G+TeLrAaPvKQLNU +HQqn/8eubYrkjzc7JJUmB6+54Yu7BVjU0tfK+b0EP9c9+vnLi0tfKz9ESvLJ5bX/vdio8984fQ+ 48Ctx1BeoJXQJWLkX4vPXvzrTO4VO9Oj/qK6rlvdqHjcKHoE2lsl5avUlgBAYbtYL1/fOoAXtb9c g6mb8aXUJsj3aTgNjVWrElMiy1iQhhffyLNINXhRpNPjR1UEg3HkUqQanOY9tkS7GQKwuysZB4sw cZc8PR1dHkGrbN+53lKf8p2s0nISV1hY0suG/blSh4yAspnVOepHJa0w6bcBMV3bRcpMQyZxv3yv vdzALe5jEgyTCIv9VYBX9Yg96htvmB6BaTQFAHhCOm2gdbAsuO49p+UT5TMaUXyme+7PAXXtXNYe qLgC6SC5swmWF5bfdmCBxqmA5LYXPskK72DU6PWYpbK4n2if8/G0pirJI25DOh8IIIPVkA8v92hy peJobLm4BCEde3eRlrVuJO7MbnJvVcIQBX2tAEAoBCjxrezHeGY+cYGvTy5xNCk6F+m5udwugho7 mQT2T1vszAatPxHO55yT5x9yzZchq1HajMuM6wr10Nn3P5R9lf0dtiHAN4D6Y42SwLBCFgG2pNsz nbhCWL80eI8UVDE1ru2r4M7FAWNW42eCMpXi+wIl1ptNLPBFlOIObpNuBvEr1PKlGpzv1Vme8bV/ OnQJgZ0Rix/kvWyg8pHSi0YY9blhdmloTTSsisfk77CGz14sWtLuVj1vKcw7mrtl2PCAZp3YTP/g Z7aExOUA8KW7dltrcjbFWlV8KyY0EzEhCiJdBckFsknZTb6/JjuRbg0q2VoqDgAF5ZsR8bFAt0aT ayHb3vzGuKAaS64y3DePwkiSLb1xcQcZAuPaklEovqpnNtsuEU1eQeOUKQKeJ3+oueKRApLXYzLi sDfK2v0WVa7ywJ6ASPfKObpKyiS3toYpWOCGWs+SHvYCmeBMjz7yyZ7mPgMGkghzcNXe7dGtONl3 Uyrw03E3PmGTlBEOT4SrWlXiTrPgQa7iHoiRH4rws9YjNiFmqmyBAPAZNAXHsM6L79f0i5a0G91V lZmVsXh2VY6ueddFU8UM8jH7OD+DYHSHeh8tnSV81ieKA8GrFa6+ZjKKILrHDVg6zascSuY4Zuhz k2xbrD8ArrTVOg6rSCkHxhHV9HEQDRib4it7CvGbvtgF3wzV3F+nhVed05vBEIE5/aClYhptVGbX BIHp2+ecg/DJgXAdsm5iBhNHccas3HgFrE6JyTkdHL61jtqqjCvOisOuAALqi+sCbCzFYDHQos/e JVVUdJRrLQVXvfgeZyLdZl0GljvaOkvVibRwHA9ysG2DH4TcWZ9sdwTfshVynAk5VwUNzEoUJVim RxkxpjG91cwf0K1un4VDqGJ4gt+q6O16MPLRILZClBkPj0VZz0iqu3ydqYJLV46NyaLbwogftRk8 u9JJ7ESsobGlEkUh/hfd3poN9f4tnqNNpDFQ7DVkuS+IRbtGn+0/MVUCUaWob3wiU5uGIOQIp4sK tcQKTs6Odjbgp6aZE3bnbemKAmM9r97gRpXcqIdX7XuS3KRttl0sBXVlResjNXht1TkXmNQoGn+U 136JzEHZQnBFL0GR58RIwnx7Nossu+YIpEt03XBo1yVD1UBTbUfXobvKHg5Bm1tu0pJccCWcYQd/ PqWCki4aBTGCQwDLiWYznNceyC9XU/FJmXlicm752JJfS99mtAUdRL+fa1twcqpNy30H03RH49wN tBGiZmusc4c5nGtewEU+uAKm5jkwpA2uj+i6xyk21j/sKpJbWjNGZX9kUgfKL40yMPu4yFctnFbI OSrrUShOjYO1xDQnX5kV0LktO0QfTepzuvHQCsrV9ND8M8FlJQINt1zDy1KBMxUBW7h+02PF9KYg Ug4u/SxvWQAuK1JU28SK8b1wMLj2wCK0FbCE3dn6yKgMA966sjCoqEfD2a8kP/ZY4WBaaZW5vKc+ 9ZQIyltuQ3TTAThOk5Q2kqx6gHu1q0t0xmRqgNVobiozhYqOhD9dkbkyrjKkAJqaQJvyaH9XTGRk +wjGTZfezHeSLlvXV3Ucw6Mzgb5AhTg0fg/G0menN38YVlZtX09c2CeIZnjFirZuEZHAcLMsYWn4 fAmWmiJVsq8rp8VW3Ruq86F72pdb53+wE8w6t8KcegQHtWKR8T3zYFr0w5Zl7kgrYFmScLZpUvHl 61e9OKaX0l4M7e7msfrMk+lVs01n1AL/ckFoST/1+rLtyjinVmwkEwtBH0JcXaBH0Rfw1PA2e07I 0IT++dLKNqNOKj+hhnwkDWiNtOvbW3tlJRWIqAn2BTaJ/x6kGjWNynGNoRkfAVyQVtD5W6845zBF EWPCuYCKsmAGH4YBEWJVvZHeoyU/mpA5pqgcC6exEJbl0FuA52nMV1GsQCc9dCxR6hUqYxP2uxEB E3oFTAMus/zrysuEkuE46ki15WM0cRenApWXCc5FNuWzCmkZqgn3CYQcg1DyMtJmyEoUsaG6VGL+ tNmBDqQmveKl8ck8IX3bDo8U/Hx/Nkt8IRbinwkCXQ7+VV9fs/15bvpc5mCcaf6iKFRfpdvsURRR JO8k8HFEXTVg4xQf7nsJ1Z1SsbhiOyesBatjpYyUKF/lhT32cka53RbKSklkLlI+625gQndy09h6 7JSk19+mopEDN8n2SZxm/vWG1Oaft9Ahmjxb968Fvs40SFgeRTNcIqefwBDsVtHpsV9GbIOjH28J tgOQnTTKReSqRTw+OPX0rF99sJM0mVEIREFXtraV+gr8KIVbbt1TycCYysl4Z45pPcWSNBZEREr1 9VWlEdZYukT0Ee7aGnblWWvSb7YWEViDuixH8nGoW8zziYWCnztaiDGCynPeEEnk6MKwplBgX7z+ mumCjZKSFODMofkMnnLpIfDDA0/JC+BfFrO/5wnneTDutJeL1P20La70Di6h3T/zTf7jy93N+Mod +8T+9r+ne72t4RYe4BMhEO5whXUXZkrMik/+nlebZdE00NVk/JWRYwRKvf4j3MThBUaq9KybTF0L NZIPp+PsddiGbOKHAiGIwowFDG+6gDe1q6zYctIcOCHrMO+sZgtsrAbao9srdWAAWxgNRNK6Kbjk J2Dcljbb52vz6CAqG+EUVUccYGZHEPm1kcRUY24ZX5wUeNcM3zMTzKLW17WIJvFZvCWowZ2H6Rdm uzxdA0MUGOheTSWprhPuYLhnNtuCiIKuprTSw9xXK4joFjexN/71YK7g7RGj9wnL3gdMXCrchyIY jihGgKsRP4yiQDOL9H31kJOR6u1N+LiwcxEit1YoTtqfVhAcrp3yQO8Zemh9hh5H0DBd8JC/p2Jh 2EGbCdRyNlDXkJrtAkzFsayQ6Sxp/b5javSwA3OJcKWoFd6hPHfuWqvbBEg7NJzalsyQ1jQo6CWY RoWwAi0gyDbFmc8i3EgNXuUm6w+BmsnIeXm30P1AzVBLPg/XDEXDON7WNheiw6WRnpK2p8WWntYL caek5zVtsw9hHjA6p3yoIk0zUnmTPFfEvh9/lw8VMTYlbjABvpSLQ8poMZJA6tIIote4jVQ/NFO/ ITT8ag3flmtnfMFWLmqoXjQent1AGqphMo0BMcy/JoyUO0ecq9RipRvF1xoi7OOWmMJKM+cWgAwz sB5NPSGyCq+Dgo0di0/fPCE2upK26PxnR0nZcr08UrR46BaupJZ/f9i4oudn3uubWbdBet/Q/A3z c32j5yM1e0cIb7Wr/WDDRtzLwftL+oEvV6oNnWOdy6nnxxdWbMAs4bJDH7BlP1pz6tLYc7rHoIi8 69bGJp5fh3+fRV71JDD5q6XOF/Vb01h/m8ULJsSRxNSeun2m20+s43+EdutZcttL2PJLzz7Io4yG EqlIkCAwO0bPTs4rXAa2qCcfWmvdbSLAjWyG+Csy5nuoBsYKkZOy1Yz1X1B2/A0F09HUQlQjRbpP 0cQUmh6QqcBT2RhQ1QHIxJJo1ZmISUzl6kDD6qfVkL1V2ob7IYZJ+ZznKVK4O86kQATsiqaXSxgi 8v3mDbq5dR9YNUA8UPtn/u3OfDfOVMhs7rEVVPe905vcjfh+eZVkJ9BtUABCnUd7OKwOb4U08Emb X0W7xiuu4c0SBv/qGyZMcE6mfqGn9L6qiOSJy0yTF1v17Ga7qFIIex4KOPUNzKSQdwPUx6IVdf8X QAKBQtFmk8u/5SHg8r1g3WbEbUBguLsZxWlNO+IoAD4ytxt9wd966Gd3hi8MHK7itUkCfqYCTDsC HryCvM94O1xq4/QPN1JxA0Wp5Mj4p5WyU0LxMyZJl34mO4BBRy3xAxctaux0y+m76UQdJsWNaWp9 gsyep0swqFWD/dnlXULz816ixBqo2FrQLkoYkDL+g2L1VknOsFINIFI73JXIw8CsHqg2h5h5Jt0Y KjhrBArRM3REEoyIL4YtkPEzde0KSU3RgLfmcslq7xveLRdz7ghYcFZH89aBuOSk1ald2P2ORTGv XnPwSfBWweQU30xuAnXISv3zYadtEbg3Rv72xjzUkR8ASdonxKrgjJf49cAuxcPXPHmmN5y/SkYq HUXLoSezmqYRfiMLEuoplzlIQfvGV8bfI5+E1iObiFT0RlPJq5lprEF00H8IR+VRog4zc0SAGAx2 tyxZs0p3RL2nvb38AnSW4hUKyWFjeuYIih9mE02KAf7m1CN5lU1ye3zXsJRFSj0b15yQMl05D0db CtGvBLoM3sBKOgukN3AfnGGRZe782Ue9uHcbRLBtRv6s6hvWLAVQElm/oBxqOYqXtbGn7HUlUKKo HB63a1HdWVFUVLbNdniSgqBQbVUQRX/x7fOzWYTyp+Nl1UxOD4S4/9Eq6/7hJ/f0jSzw/TBqQTrU uGoaPcFBiJokPtKNRHi6CflWv7538n0GTPm56KIiyiDOgIRur6nwJB72u97gZK1MRbAlz05aKq8C 1ZVvIpcUzcbzK7h4W9StwKgrxLqiDHBMAkFaoMlKMGpHF6QXehUE4UueQu0SN6QgTA2skBC36hEr IAn5ZRIyw+UiSFTjy8Hx82GnKRrPnWlCiR6VwslGibdqzriJAvZ+tKkVYCglxw0jgVI0nU3+Nz9h of1Tgux2ha3YDSZSaXanpCpldMdzblEFv0UgWatx5fNhmqbqxAR9NbG294DY/t/SKJg/8C8FsXpD VRknw5rkF09JiXMTkcgsWKz11tlacO3nfF5EGDhDxLyrr0pmi1wA7DiDAYCAK5FkcuLdVnrpHNU8 YPZRxFALDC78CuFT4Yuxk8aS6X63fWKl03gdGQriOur070whgycszGWRFEEKXPlSUSDSKxMmxO++ oNl0kubLyeul1lUlSnR7yznru31saur0qhn7zzdruRGjyMcWm8kOtBkKQCRsSqdk7bbwGYK2ow+s C36tVJfVbOXGB7FEcyKRIDPRzCdUCPu+SsOHM9Wks5lpNVBmUR0C7rbsZ1wVyyhP2wHajJrPIddw d354odCHbHikHtrozn6QNXGMevjzg4puxWKRGM+z/EDhaIMAbnF4RWCWMlZZvLKOXbVsItmtuzzv LUkOQL51R2jXYLVxEHznw/15mHft29oeeT8sbAs6mgFeVvMYEoYYNKquH2rJs+/Hyniv6ODNJ/qK 1IB81aIbbxbH8cgLAWq1DoJsWM2vdqz7U/sCS20KTxYcvpO4evU1WJt/uaexuIlefOjHTeKHHZcM btfa/LAGObttcoFK27U7FPADhpdqkfGByEyVAmRpLcmTOsS0w8GW5plNjNYhwULfPByE9oX24xmH S7UC+PMpo/zVNYBjPl0SJZKU7IqZYCTVu10S3RyqGs8fQo4vdoyg0bOffrJPfnS4TJsWXLNW1Fn4 giMwHidTL40FoOMqH4c3irEwrNO37/PqYKwmOpS5IJoveBfBokKOyzVQ58/5OlwMU9G7n7O8DCHH Nko8/ZcAWpVkqFHUXsG6v5K7KP6dg789mgfRbTuPcVHO9vEh83eAkJJwudvEqt4deVhBAnvcxwF/ K5O2NvD+5+n2AJIvMe331xQCRk121MtQE3ZSPyfAVx1s78HyhwRofCb0AEqzONFv2PTXETI+11Hk 5B2cA3z4ooIYk7HgOJFAzFY3/voukBC49oJ8ePI13rCqRFEauhwvotLLzyrH6J9EAoU+biYhCG1U E2W1HB2X/9yQ/aVonMnoyc0aJebZLoT2l9AMKFuoX5986jx57fub72x9/DyX0C57mYCk+4Zx25Ln VLpy5HSMoP4UIdPwxYlseWpMJrOBf/CaY+lUJup1ONOWLDKRKHXyPhTKvWMRRKVWcEFjxWV9Vj8X V9wJltZS9Qkr7P+aTvU9w8h4lDW400uuOMUscsjEqpLCMHCqu7DAUMHZMb7WZMGwJRDM6po4rGCr P2FYwt+vv+ZJKurkXGurUmUSxKRRbn0ypFqbruvzM/jDz6iaM95GojZN6v/2wUDf08/3hUE3wfUU voWogxY+tDx+ZLAGdY/JHlCdQB8uK+1Bw+07zj4+XHaAWm2WRJ0fYRl6SDOpLDGaLhTud2q99W/A YghgV7NZcQ3zkFIihchRmD2BapHNT/txLk/+snQxXL6YXOALr3xNCmOKKCqYr9bQ3FB135Ea1y8H bygANDkZ7rBqMbEfib4pDtzvgzk7ZzHzq1vH7GvuYNuz9gEaNGIojl5qTGKHl+AF9F0GGNmlR8Ly kyGcPh7vZH8Z95xnqXjGZ9uPj+usl7gMyJXZSkUnuG0RKo5MRuI68GbTIb7Nko/wJ11zU1tsNdf1 gM7E/xfwmf2BtKNW/ip4WSEvi6qLxaDI1wBZNmD1kIKHBSDUwTjFrAhOgBqE30buovQZIyr0DsK1 qFwKNyEGV2Nfvxuuogfiggnja0c5dfa0OHO2R3Qu3gxeceJsr+hfeUpjY1DFxXsdQFmqJUprvdpZ 5s/cEH9sGx7ByNPCj9bZiLIcnPGV/AKN8zfTxzpu6E1BbdhT3S6+ilzd1G6JkAxeC5k9x2bzvaQ4 XJFhwMD5aO2xRhAnqSYEf12xEOiJp2BqU+8I8Bac4VMa+/6Xu9KNA7BYcQQgenYM1d2MtZiFbSRd Unz5g8pjtc28DfCo/sFDq7U2pv7F92tbzBFUGENmzxiHuTmMoitS5mdhTHTsI6GcvBO96Iyo9a6d aC/AzRBhuBfcuTzkBGlmYYkcnVkqVYUzIfT/MSW0Ik5qDuoyreF/2Wp3Kf4uOZTfhANfRQWQE4Yk bHtntjt/Gya/BmujWzt6dbYRFRHZR6w2Mn6m081ryq0qNj5Zu/AezwOhyVtNcwxCtICoN51C1YKZ 7ArR7metBMelmkNNQNi8DXP5qD3WAl1wBeE/ArcdtmISQlwyK6SZQrYdug7NvgXZfo01UoO5jDW+ LzfpA9DrCH0wr9cVAe38thL645AXf/angQIAlz+pIt6lY5nX58JUjib3Kxb/SJIm4jq6jWXackBl JXRp2WjgDHQY1Ie9KoP2xr6Bbe2Fu1ifQXEHgtdAMCywgrCNF7GdnXjfz50boVy2frbx84GNoj/V n4Mub6jYyh2P82FkA+HZCpk4d4NzE0oALN65YirxTJtrVqLNixuZ0Ejb2ZhW8XGtTZG8SFbcgj/+ kqQg3tx+1afA0ZK9chiPtCzdZWm4bD8brXadLqJvDEMX3D5Vrso0Kh1aITgL97RWobOMLTyTw+Pm xIqKn9n7571UTFCLemlGfDtASDhvbNixALK1PJ5oUO/kdwAkxS0TiAgO8yfYNXFzTDKHGzD17LX3 2uYLf5GaU89hLEeYUTbxe4Z7/mB2mF1PxtZLOOBy5bOPVp1/dzbZD7B/XFN2B4e5WkC+w00HYMmC Nc022zW7bXz8iL1pBk3Mlz+AHkImLaSJQw22dtAVnMGHynsiMJDMLbsRPh4DJupgsNKklHdfiRSi oFL0HIzd35S/WIIZzcPfCcQFMwDa/YaaI/TciHvoPIte4NxtJtERx8kEs+30G+pTAlRAvlLmeIvB N9HnHeZSyBCyQBJugcOTQgluk+LVP2HWoNOOh89bnD1wbYa3UsWg+vtx7QTcm2W7GTKGehZOBmeR OMdJvZIj3UnKlK+NSL5whkjkeEazLD+S6AueDz10DqfJMEu6VtCZZq3k42gZkWJJesB8yslArAiY l9St8Xcc2CH9MO8bwLeLuSF122nQ3qBi1x9teEEIkqRhctVVhrSAQAsOa6kphyl9Nm4UBnEEy7jj oeJxL3iQk2uGOWBJ1gQM6w0bSw69OgbLmnSr0szRHLhFBu3WWmMk/ZZqnSOUFZhSGaH18R4w6p/w hz4OA2ZjmEJygV//0/hybJ0pbXXTB37uM/LytMKIW0NKfBEOwn+Eci+6qkhbsV/kb4TtNC8PjZnr lQmp8qu4dLoZnp3riHrBCIapYyD4JlLBNbXnWOx3zD32EJXmOy7GxAbNjG6gjIdzPpg+fK4PVkbC PPVS+0TguCDtWwbIQzNVkupGu0qwRYlNET43uPP4XlEzjdML0e8qtLLa2roM7ADXsvCXLekZkdCB uW2Oid4Daf4lUGpwltkG64ubrJcKzr9YrrfcAV0zvIwL9YJ2f4z4e/Y2rLi/ZIO9XRfKD5wS0gIY 43A22C+cdwVorNZWDQZhFQXuRQz8Iyt+QWHuqI7a/57i5Kfrv9UCy7w+0A4T6JhE+CJ2xtVlNKD+ hleg5v1q1LxFjyxzgN0nHHILdas8eeYOt4YjnnLo4cNhsREzCPHkfIQt+PWiFmHfqCeCa6Qi77lB rBXvWVzMRVd1ZEhBVgiuvkcbaemPhMBvYHnSFuqcGizsNKdTAoZPV2fmucc8URyAzDcOfltFzoF1 x4ZilZbpyZR9lRBQri5G5Vrs+EJ97ha8xQD/d0n8DsTQRkUYT2G1bzyZOLJFO5WXCtSiA70+w/J/ QfcsIWXiIaSDDk1tdpzMKCuuirLb72vYVkxpTPDDl0cXMq0pLD9oOlwagVUxAPlDRASDLsAJQXqA fwBDGzWFoLPI9WNfs6esN5cyWk6/9K1/1QXYb8L6fOwrzEVGbCfg1EkoY0CwrjcNidv6Z4rjaqFl 9gck2puqOHhjtdpO7lMdlfW61fkuV8EdtiH67OPKI8TpD0yxFh52IM6P87t0Py5X6DKC94Ylagn4 O/y/qjaLwz+iiF9cNvvaNacqmEVUJKTYPETVTWgVIG++C/39APxvBMl1PC9l2AfvQuodCRDeEsD5 AXBl8Z6eZuxV5uGrIekUz2OSFZ08oCjYtzho1xKcaEM/bu49wkLjllM8rb00Q+WK+HEBX2Jghjdi IzJ0x05r1AQISrTRK/6YnGajisIMALbtktrnrK5qVZMlKsn3OcItAr3CNBJhfFhZvG3TrAzhrTSd c4AEMrqBJtvjYOUTK4OsIzcjUIDNeM/Bwg/UmxFpyg+uMFH9PgZmWrmg08nxh29CRU21jGFP3APb KrU+9l1/7J25FCAIDVyHPUheP/CC84DK6cCgTafM7kS//MM9UGDja4hWXhHs12lG3wyZFLOWGsP3 8+h6+Qt9QElFtAaqpqR3uv34T8hBWMxcmYfMOPcCRjEYgPthDbhh6GfqdzmAjZG0LWuf4FsKayF/ R7RY0RA++FqV03osZfWRhEDeDd7P2DKaSx9W7qj3AJGDThIOrIyppCgeqv159JIa69fREGQMrTjw 3haoEHggElq4HQ7RVd6dV2gqyw8UU3N2OFFU6OU6VGhbOT4wo8I7eNk6ZwPAvnh3SyR0yN/YO/Ep XwXPtXNHV5/BBn5FIUfH8s3fC4EiIrlzsq+JP/NBmjSYICOQG332PR3Zg0sWzfd5cW0+AYT08Yvf +vZ/MHZ0/JxjmNtukJ5MCSJpkz+BdTo2+seBlr/n/EzgabkR4IJ9RLa1BIBjCxNmGaEz7gR72lZ3 IRaKyIFZ+OpA/Ugc8TTlmEJAYiOGuun1pVzoaBCH38dS+3Z4rYfdxmrOy+SmJBAnBnTPfFPT1rjS r3QmNtdaZkgbHt+l2numjWyz6EfpBW1c0C+wpqLlf+lyeA7kDQKu6DRPYU0ucxkvu/MALTcM+ekN 8W3ICrBjwOjuf0r7tpC+QJF2GHRuXJNP0z0JB9p/qIKIktP34rski2X/iKJ1HV8Ox2qwK5hYgc2p IT3sDwHCmurte/UPrAlBoKkyUGPO0tD4P/Ivx0h7OkgUrkwUMPePB02aI96F7kfHNQHEF7ay2E8c J+ImFcJ22uzyLgrd8Q7oPM6rUzi74+k/g8YauTEOBKzk+pr3tJh2HW1EY7h+TUwOIxmy7vGPIDFm LGBbLcHq9HY1HDAEBNT1YF9fh6PWc8RnKDGfDdz5Y6j/tgcnizieQxYpBnVQWZbyNA9x/jWOzpAk S/XiEdF7Im3OvFqDl/L2QR+yO/nM0LCv2IXFnq6eaGBDju7FOn1AJ3+JQlXJdTHMWupI0Ts8ue6C hoKX7ceMronzS6akVV5GlHJiDe4/8bXz+V1n4rzLPrMuYZMwdegiEYynblcNjWxui7l56OoxVDR5 inJPlwTSPx/6WwrPFyLrd5W1hpO/tP+yS2XKzGaIxtC2fUJOjZeJr79ZGeUp8qOzffrO0gVOOX1e wNOtg+8ApuFEO5/mm6UUKS221g6Vo6aovic2bHShH9KrIA+uS2Hs9TfyrwyL9C8eALWA6JeCfLrq VY0xCwjaNSiKwN2sEC3CPRJ5dNiJCQj7W0w1lUjjhnKhsnLI8GUkw8PxyxdpOG3QuoH5PatCqh8S R3OILGDYy2pskEqxhG1IUh9BGZ2kmGqugz1jMEZDR3X4hRHezNkeH63br+b+GOchOPo2vcQy4aem ScJJq6hQYUPkNwlK2XC6h0epnnkStxfeFXj004mxZ9XYSiC/brXQH5S7T9h/BgveKuzez6qVjGs1 bz+wT8JgUriOKMbNO5GgqHlfAkEllhRQhie8rEX6uIWWQT9GazZyEid5fo1cczaVpkyaH5zzQ9fu BoWo58CTr3R3R0OIxsGzDyu5+nnEhBHBCE6/mG/TlQnKgwIQUIVvt3X3N4WvsEjpXpNSIZjmV5vr vip1bMT2Ayk6Vc7mm0ssbjDa2Qdh8UMFZQyq/78Yuz6DXX0TOsk9bUs46CorG/i0Uor/SiX5L85b xq5UAwlHOySMc6gDlJAm/fvGTyKHBT0AbMiZLgdxNi4peyJm+JRaC2jRyIa0rLe57QI48YeyD9p5 3cYDtDa8yobSvq0U2y7WGBfjDar7LJ/tVkSXo/UM9+QJymxTdx74YOHw5dmERzP+bk6JNFWNAOyM eBtRTpsyA2Xgg2NB6iTSOUBEQM3NTZrcGVGAD2GVuV8UFhm3fP0GXYfR85Vu2dFS138unha9MNfC 83R9GvUS4WRL5vUWyjFUK+HW3iEi/qB1Z/srHoB5Pshu46BhCivcSE7nD4dk3VW9L0AIKlCrnk3K mwiMIBy0V8PzVvIPJyfjHCNdpABb386omBscs+Tg6eaS5Yr054t523rcQbM31BCLSZn+hjSKlUOV X1iQP0w/ij9QqmFBygCiL/Rr/t3DrUqajjHwck0nEF90NBWei6INaJPrhj7akr4JtzsIOG/cj1bI Ls+G6gohnGZ6LILl9VFxBUB+xwTYBNKg1NXVmeQhsieJn8EhGHKlIpJ1DBpqYV9grKdkfTaYcAAB mkaOOM7e/9HDibu3IrRVqIMTf6HRHtWRYt6Rr274H6WZolTglfJL3GZPRNPLFHmgd+RQyJeWeeAL oZLyMQ1RccEGsF74W8If+LpE+AKZ85TmQmK4T9AqLM8UdAOCgr0fSSDXwEN7hLCjRiXHmcmQ/vli K+gMmR1wrvGszM7yNv4U8LShoiEjNJnvIUdG4sMrjRoccG58tGdCL/CICqUApxmjlye81AnQeki1 YlEomLzXncOpqwyiumL7nSlRBQVaIabDyF44fp5FOUpaO1jpD5pDnsiT7Jali9tOCOIg5yU8K8Im sRD1wL5uBkgW7xLYKDtYDAMN6/wqEYDzLQalVmuf7+GIoaJjgEXyabh0nNI2us+DdyuLblPqkAzW Q33qcXH52xThaClqx/sN7dO/lvtNcvarHnMCBc5xiQBBU2SXKXxKoSprb0vt+fuKoqUrdnHuISKB svRgpSVd178oDBHJoQTcLYhBSBtyi31x+owsu/UNQMks6ffUtPgQvR0JPHJ3NOVg2Fs1Z9htxZmN Bil/49zu6r88tMQoatfdxgyBD9dqmlWPFEbrxMwaT41kG1NO/znVPsO4WXVEom+e2oidXLtojEea iQBs1O8DLxrq1TaCNWqdMC1ePCz++0MpjwHcJpf2Fj9XpowwJT6exrM8kpCmkWXBwONaW4vB0yNh 4d81qX7RoubdBqf6ATPO6vlKnwLxFcbmSaer7BfKnCDGUMXhBvhwziZliN4N88h6yQSJ+z8eLIIG GnV9SfVFA5TjvNY3OZtRLMJQwXkbKh9Ob0L26DNdFsPUH/P0NTBltbzTJUltEluEp+v72v0WMoCB anRt2F9xGSYPBIVDDLiQgBwSBNtyXr8A7PDsHl4+FwFypE/KPVJYSQD9EGNP6/qjIo/aKTFpnD6F 8URmKZ32TWfJhuJPIBpswDwVdol6q4zEMSnV5nGRLi4rO04dQX/dqwq4VVQVP0Eow539+ZgFXC8f YDFMqd7CJWzr/uD5T+sCu1b9/dC7/ZJWybEkQnNR4A1ySNRN1kStwx+yxyCyBItk7/vD8JSdMWrJ fp7E53CDfN8P0K506QWAEhVoyL4gVifDMV9BToZjck4ccCg4l0OYW6FIw/LdoGqIJS4hwf9VeQXo +wM4NBsJfqeye0fh/DEpAliDpu7s21HeAZNhQQk1Rb0y5+rFj0WKEy5LdO+7xc9Sy1vvsakYc4m/ odUeYbtIqP2G7CwDPN/hexS0XjUr9yssewxArIGR0M8LvJiCn1JF7AjXnyTjqZ0nGN5cWlS7RblM gwBmgDAriDhEs7u7bP2uI1nTbVt0CbUzRS5iqEB13uce0yfVvlVMiAre9hvEEgN4mvcZZ4bQmLgR KxudiIbQBtfS/9HkXM6JwiE2g4qqyZfifvPz0OYIYIWznHzJbV6xaMaEkUtarLday+qQsH1M51NN 8F9TNVXyxj+YpaJpDTC9NDvNl7UdDDB/bXiDkdjrFrDfvn445RSuri9Fs8PgX7iz5xm/dss2+zv8 0KxnXCnEyW/M8alQFTFBd1g2ZUwwWcMpY1SYavUzi/ppkhwzEgdIM7J53b1Jrxy9UR00eRL0LrAH PIFa5pNjsB26OI5frqSgp4upwPwBhMLneEalo/o/HLZgnupIBDQEXtTNYOK+6QOz8PhdOck1A02b /dR677VMIfFtYm5aI5ihmQogAAqR9IKZnOIf0+p+VdkRJ7Z8JYltPgj6Ol1Asg0yjSmO8qe18XcV tAJnPDzSz9K0y7aPJrjpJt0SUv6JH6f6Gmgl0ie3RniSGGISVtzO9UqdNXIbQfenKfcdmm7SuWji zPT2DoJDFsmKUtJXUEWWajrqSus/YUP4bS9Zt7FvdRdKhDXgnfnr1E/Nuf9/ReInFviEwJzCGO3B lXHDWNc1z42FQ78DDf51Mft+xoq008iU6IrH1nxWphmAxBwnYAD7DeE58/qMqPNaGLN3HoY9A9o2 PZ6Umfsukk0PJL1dFvtxacapNdXo2AGwv2KY5PjvGKlkrz7g0scQMOc+U4Q3Ymp02tOUsEneIF/k 8hJrRwZXAPTYUqfUV3hYYUCnA+jbeYvkpmJb7+bujntG8oaVoRYdHZ3VLKziOBZeYhk9m9P33adW WAJnImbY2Z+f5Q85bqKzVnkbrGxQdGARsnrWteB9eqiyzbHGgWCDSNUuM9/JR31Fixo42mrECDel W0eZNGLdvLDnjjaqkauTzQox99LsNAjjlhFnm4me6BpqJjRNbv3JMXOJCZSlKRoKYe0F2FgMUOsm zTHXZE2L5ql0rdCmzDn1iWCv1DyZnGZIefRGIi1ONcEiyZ10tiwdinHXVIhiCSM/p0cKu0d799uE FOs5fmTtLVOlVzRAdKq6Ov5PZChZDEdyYrDceo3gt0UCDGlwxnHngom7gyudbgAhmiPHrNaqPy1u 2P27odcEiHHPBO5fd4zCOwtHvKAdLxM6+HfDYUmUbHYyRqFgj3CzTAARZ8tNKaAq9ndjrn4hP7mk ApWr/3uBkFvez0Ae7CXYDmVC/2p/IRKTo/VsXUsyulAJ2zxQ7BDXlPxixabKNHLU+tYjFTQCINB/ bpPO+18wPdLbYXF4l4P/T6Ioj/R8qt+PN1BSGJcc934zqiE9s47xxJJXz/SvAEQAb+De3Q4Ibqx/ yNXBUU512ok8LfupI74amU/tv4G5giPsbvvKZu63oW1FNMd6J1lViOQGVSQP0r0jm17/ESOy7365 4V8Q9ywk4r5RumM2jtHrB0gekku2R/Zm/W3xJFaN0zqA9nKyk9kZ14o3AsL4r9PvTbQCMskWYLLy rbOkV1ZuC0sN77x4uy2VJo1sPXdtKjhk9N4+nfBpmO3BK6vJCUGN7rijqs5peDK68bK93Tj2G06t VYSr+l3OCiI2mGT7+78WO+kUSSYxBFWJ2CRS7jf6+tKldRt1QGOvvkqkdHfOqVYLbfB/Jh6TCJIA BitMY4g7Sb1gP1GXccz3GBshmruaH0o77fZH0h9JrcR4qizCq1s9DaQ0jyTp0pMsjkE0SfT/F+ZN j5cuAx0X25Aal9uFykpkFhw/JCpP9HDy9BL9nu0w/HEKqqg+imKf5aA9WNQ8oT4YaQouJQqdgF7D 66I4CV9hBOiub9un8Fz+5EIMLNUiBI5uwRZgIeFWqcDoWWP9c1GJnW+Kvv6HxjG1payUk/4vk+sy VyruWyJq99MKGJplEV/Vzy0w90l52jNkRfncunqQxm1JjUcBCcXpiLrUmA54EC1DFeOA3AtUu9p/ cPUkUJvM/3B8uFbRFA+pF1IOUJYoLOql7wjHPDZiDJCnRY6VmkDhtIz+0PsAv6qvQsD8PVJMev9a 7mE1BTHaLDZ7mjBTLbAuJlJcl50kn2c22Dxt0pIC6C6mUiTBVKuHM8y/LhtwP9PLysBOGGAsC6mt IlR9YNeyrsWxqoPSqm99OvQM25JpOGgNoRpBaDov2j8wJQnWw9dv9wxUkB8gmkskr5EYk/PqtXB7 iDzEfR4+eADMQUfCrwEkbJISUpLEPo2NW+4+PvMCK1vdtRs8tWR9VU/48vYRB0/I+KuPh8Paocii EhBzMNGJouiGLaDsxWCGca5pu/t8GBr47BBEibkT7cLxzQTe+yaRm662khg0pwVl/fBMlQ76vvcL rmrHpE+lca6LBea2su1gG0+PzeyyX702FUe04L0Zq3BZ0Zzoq/T+mORqM3TNIJ4ZetCXwtwORnO3 /IyY0m2nOaK+6oHjN5u4yhkdcRFH7ZmonHt0IWGzM30YobEzMDkVLlZt/ZZb3ySUGQk4YXFkNbw0 73KqwaLFDtHceTCiPAjUcXAZBNII1+W7zFz1ho0jR/Q/kcvq9szh2LsEr5n87Y/wtgGP8eQTcTft diqWUbfikT4u2hNMqIj8HnFhC3RpmaoredPJtcN7rZ2P/q/g8e9kDNF4fzX4Y5Q2eInp7Ah8c1Dt NXKgWgDidNyxJUiHqnNAHYcfwJ8ZPZU4thIpUxeG3cd/PNMV6wDZX7lwOXIlWYIg0OWQ2SYPY+HO /LfdUXKg827OJrzm5TKuuPAWvowm5izBwJau2afHxZmNaR1e6YEYuiC6X2/NVWzs/dnMH+5OZILR ei9qp//ldC99t5n6eqmHAkQ90r2K/76ljbCGCv5pQp7hs7BprEQeSreD5ijDonWQrgp6UPCfh1Lf 9LLov8PGuji2sFW0n+QDarAZfsZOcbg9qwqGI7gw0cV5FlWkkuujLPHKQpGkgShgtbHndimxXN24 zpaMJhffoBeAWpyjUvpuCZYiQ6Q5ZOtKnH9uicl+c8zjdYgPx3ufHkXzoAMlgcP9K6LgZfmbUlv0 HBsPz6lZq1Y8/aW+hCjUmpZLb0dCNTN5qSUUaQ4IoFhABzV/YzNF7l5RoP7mWiXuFPmn16poNSU4 WdqRvY7u5uGe5wj0doVJNNCQq3jDJOAqJv5t82zyr+8O15nVUIdL6uG+3cWHnx0F9vICLG0hkbu9 sYORmwuCXgaW3c9LZfRsnG5nE0igMURS0FDUTsEj6UV5z2lZObKbg4P8StlNOjtm+OnQ9AuwPtv/ U7G+SUd3RrBXs3Bpmba7UHsOB2uRxRZC4O4WOXLa7PgQD+3xPFwJArTp/ycmy/teGhzQ5nTaOlm9 u0r7ZdpnkPGX/+cl+ksCfTqOCfLHXSKnjb3Kz8qneHbpmgXrKyBccMyuLA5zgTPzWm0Ityw/PZJk ZdYTNwt2dnFogc/9tWe+IT0bAROGiL6REuiOsORGUK+C2mBLZrwVPLiZGDkmj/ulzxu234VhiqQf 6l6i3YM4nm7PDuP/BvWuvCCNyt5NoYZ0nUmtIAqAZYXSPTjdz3GSx9ukcAv83ijr01CeHhFk8miY wUoJHJN9+A6SeEHzRhoNs1qWKmtGkzba2Pb/EEgcBfCRj5FkwYbNgMrhzkrMobgTU+TeTgOWMVtJ 1t6xwTF5Grpva4c8d5yotkhTGzF68wgNKg2ZomJn35FAU7a10ekfJwdEjSEwrGW1qNOpQFRl5J74 nD8bbHm3UPiB3em9eAOm0ia3gDlF2m7VoQwiDYad0B2To2hdDz2hz3uxlR9ziKjWHJJtdbZmDuxf FuUUL33GIHL2FWqbaBjf5rZWKZlPE9uLwBnD4XK2SCGjsW003aTBzjz8vrZK2i6z9erol4MdnPyM slnr9NBa7g6g2rI/qMfNKhRt39tA9CNVEI3yEYCiZ298T/13cBls12QmU2QsrLzBxjXIszcFANq5 0VKWv1tQwlROpQu6O4ytFfseM8vPfR2foVMVXvS+3Lgjcs4vr1owrpIs3O//JfXSVpRgCAkSrZ+0 WETEztTx1+4mfafK5mAE1U/JQKAGLofweBWusQ/uLkUynARkhGRuxUULVk3XF1+BfVZaqRueVbin ZSD76f1C2xxhONG7KeNXRZ0VPIevv0cqYFE/IpNQPU1BKu5vT3qSJEV4djkN7Goh35I0vBUQeea/ sHL5um0dhSzU3PtbXgQW/4+nPG4M5+SpbEGj6ZsL5UZfuh7LHg03DDd11bQhYntk3ST2ISc46ZyT 8M0pp15lrDt3l31rArLCFrrelbrpyzkxQqSI15IsNpr0qBom35Cnd3X4zV4mdr3jEDoSmnPsXFmg +riaJUfVt4Z/XajNqGnj/DsZINXRblGKE2J1gyaydmIEcA+Q99R4rU44LD2liixK4I8Rez+eBe1l gjChtGdrHucX3ii/JcdOqHRQFxXRSM6U8bVC+QnFz8j+JBgp0TdCY8oLARmWzCFFWtAmL4dxhKPZ mLrFyH4kHPSSxLV9aie7K1K6ieeA97+Cp+o2cV+/q3j2MDl6KMPoiDM37PZ/l0dkT9nNAiFLWali 0kx0/Mxm3Nt9btH6XkGWJmE0z6NG8jG2hqOUohh2OiMcVkpZG4WxFZOFQKbbde+gfUgfmDrjgnKs S7VFBwPIy92hbcokk4lzQbQMWaIc5UyuQTncV/6uAGJ2JjEtdy+KbJHaF3e59CV9JZXpX/aQhQYh Kcedx5ii9Vv0T6906rMK2XJCDNvfDfVqVQYNeP00bRIPD6VfQYPiDW845ry44x+G23J1oLCACsne SnWXdVdgzPq3j6++/zzyNwsW24nFwDUQXdIbKxNAvT6OJrBND349tvCgCpuUw3mDoVBRwW/9dlQj DoZiz+ZnxmF9Q7ZRW/68HqCPL6EWtPNs36IGCggKYghaAQDMRIJamkrvjeb6kzSF/fLzbqjZgLMa +OJOOXRfXKgBBcmXUGI8htFYXluFUa0bDkdm65W7nIGcRcU7MQm0KeCOnpqomOuQvIdlgz/vJrGw 00K726kF2MWXcmpjnVyMWWWdYsJSqmWUFNSUnv4JGnT/JyrnNKJNwvJbkJY33TFVw1PWxAN1I+w3 kgGdv2opptTz7nLIvdMyxzRBF+goM6RsBVSHL6nNdNxCAUEqtU626g3rW7lOP1rdF1GVQmNgfl0d sSQyd9TNFPPuZr1snp6KT1nwFjZDi6JIq9xP0oiL0hfRU9+dFNy6TagdieL94fSxFA5qOj+UAco0 bcbADagikXLAtipFNViC2EhAZhS3B6Gd2IyMisN68DuXi6E8L9lUZfPsVPduLJW+FrWG1wElMfea PTirtHIzQycAW+jTrPVD4z+w8gmJ8jZJlA9qNn+sBKxBnJ8wqZJm5RoD9eW+yWULrpOBLppC8LW4 w+LTFUl87B+u1DsVkc2FYifxDGai+fDUIE4k0iUzJUR+0nSqcvTExYwU/IATSkXBRleWXK+5pr1y 9bmivxrz/hd3rW2ZEJlHZ44deLjLv6+TvwY5zvUt9w9IzselbvwveiTty6ym4BpJhDyO8yvKtWlG WdCtdc81UM9OzQ6s1of1fQWf7Qc13nJM3TfXdyDldZQSffCvXZX9iZd47zzZfcXWqjs+N3IEDO+c 7iC0m3/7B1IP9jAxPWYuR9lr7w2vyV5fcQVlTunQ7bzIokJOgId8Hxf8YcZ2kF0tR/q53BcxksRU yRCuN+PGovn3dNnm18/O4s+7ghKBJ1WYmu8mXno/HKrWRpZUm1H1mh0Gt7VwvEI4riWIRrm7Kmyo 6CR5+DunL6oqCTPjvTXvxZNatnLsHlEudcf8EPTEFCt2RVJqhmf918usLJSeph0wV5C1Mt0IoFj/ oRfUdfThHB5VvS52U0F8H1WdQ04V5jb4J0LGG1uxYUxOH4qjfTo83ag91jwmwQteaC5mDS/VIWtO nlciQvdymaYTWDKYpZ+TiyNnNyKjUgTc16eczQZqD89FE+Hv2et/4YAmYmR9rZM4QJcvxQrMldVp iezPbyLMfVw3r431o1Ka6mymDaWp+5zYjvfMj3G5bTfdvUJ+hLb4BsGAfAw05ABXPCOhxXsa+Hhn 5QXgosPF8QlVOhw2drNZXLQq7+t22fj56XOVAG7hbapgEYHuMFtP0vmbYP0iWAyGpTOzTKXplzLX ZheZqpVhEsKUxwMHjbl9Le1tB4MZuTp9bU0VUYJsmxZIGlJI4/lxSLfbXcezv5XlAVjDXoyGaKeZ 5PxiaACdqmqsJsp227ltmNHNdA+2sYuP4G28x3jrQtu1G8aw+n00UNKTDS1dXXQdjIa/tDcngf2J QbElORhkRQZw944UPiAXOBl96sDOMXZgWvtPzBcru3HvuPr/La/PXjvgoQEy549mwtZ6xM49zkyA WvdhheJRQAkMeS04ONHFtHI9JrOpPZ+06ejXy90LP4e8BAt1vpXdcXpzISwgc7waagESxYnaFruV e3eeqHxiOi/6upoeAuccF7VQpHByGmgZTOUrHiLfMQOrcaugEn4g7/lv4zUts93CL6aOZUr5ldqm m4FcbXABIwjNXsgy3yll95RBSZ7+i79CzDLmHzB9qO9caZsDUuB4FOV2Cw0poz2w2qu+ZiC8Br3M Hbk/Ha3MuRmpVCL3pbHIT7K2W18RMOfwTte6hjGXiUJdA8gknRUPsGzDuNPfAJinjUEOjc7w7y0j iQWeQixZxNaZ31676mKPLKO2fiWvJGdg92z3sPDl43RX4CL9vBjqhtzyYerHBN4LqJ7ZvvkeIclL KXFoKtFUId9Wfnjo554nCwSudwOXpkHbv59S+CeZpyWfEiNdfFUAKXq7M6AhM5nz5T6a7+mJzwJ1 6E/JUDaCS7PP5fxYTuMWB+LbsmoqPGWaEXAE/sYM/d6owAwZv+B8GqDbzHWRirEW5oIcFmlyQnHq cRnjum1lUpSIuSx1EiCdSefWIz9PnwD/r3QrxHcNmDHGvTDJ+qomd7VHx/FqT51RbBqkMiDyyikx pkWohxIxE5PbZ18VSfTHdO3KFVIb3ALqdlzPv4aP5LJPEeY3EVO5DR3laLtv384Lf+44BuihTFOf yvOfhm66NM7qyw6ZebLi2NSYVclI6kPKAg9z/9wFbLqZxNO22OETsKukGNMSNL8Y0HeWp63shIaY s7G7PuKDaUew3he5zt08k7lNeGA/JhCBC8fsUcPPCtkWUhXT18acvPwQd6GgjFIKOAkkGBXsE48i Wfq/C7yD12X49bcGhPa4la3/aAYR9X7q/fZNRZZAx/7JM9SwkoiqlG5GUp1gjjUmIH+1uRUOY5Xf OJcNEuptGyRmfebg50GWXseNZBT/eP+1QlXU+4M9w8WWIfeQROwDgMwNc11YZH1pNeR8zajo4pGc LHVHLm15JeWJ3VuoNEPa9IEncbmMhXQu6d/1ZpliQ9IKSmrrt9kcyKGcPDxN5Q3zK9EKuoGBPRLY QScmAWVm+l8Ssnvpj+JcCfLkQO0YE4mEeOWv8/4Ot1jrysfCMxS3H/Jvi7gxfhcQerP+He8JujBa epm/B1xW3HQLNBTMwU3sZG3qo+ObdIZpWw26o+l5/eV2vDvgJpTGn+QVzUhckZDAwtzyxm2YHEIH LHePOqmJfkgiTKLpqVzZaQmRFj9WTjo7T7qV587/pDm2HbpABrzdoFo8VbRJkq6VtdOSwo76HnNB TTCzCcFYdJR869NEz5hYWSObY30XuuUK8pcsO9ojNPv9uuiXoU3OmN/EyuSQrJpittkFaj/tsdrH Y1j8EySHeue3RitvlyLmumroAVBjEGjQRNtn6v6hp3tOZ9yO6TX1KhRVm6InoD7DsAeUqMpiqREr t79jpWJ44iRCnevtIVcEq6E6OXzoUeBB+GWjkM2DOzIJoIh00L29hw46IHJKSTGey3l3mGSpJQD7 FH48pN/+EgyXmtJT9NvckFsgVazDdZHTwpgGKK4Gpt1uCXUGrCyW9/JszCy4UvwOX6Ve/1MC80zR kXj9kOdqF0mYgDoNkYTIv49nIfAJN7pXc/UCpdX9amxeamP7XN26F7hlbFZt/aC6tTh/9pRHBrZ1 Yvr3J4WCewJnsl6FNkNCpjNX3w4PaMdJY35IrhKnLXx/vikLxCwOfh2wv7X2CfjDbEf9X8Rg07lD jC08XTQthxbJW77dS9XTjE1f6M3bD1SdgGQpXVg85grbMSZx9YfF5I42Dk9YKVXahZyqRM4McFsJ ze1Jzt8+nppQ5GGvAVmXr1YeO/AOrKT4/i4gIU3tKM0jfxDyF6PFzjTt+4BcEeoq8NlMh4GmvAAG sFogEvkibuvTzPOjVNznMuwGiY3dHmjreFPHbIBV7FBFOPnKDmPOgeI315UIyE8jGLNeG3/TDBRi THbCVUJsjv6mcRTVoFrzoYpRMB4fj/MnlhSQBvvQBnEe34ZaYHZ3sq6NcIBhWczsi4YyosYvmqnr 5jbm6o5Rwu79xms8mqhMROO2T+yrEJuej69TuqPIv40Hhi82TLgGkDUL0bxCVWdhciksmoBxD4rX QW0U1nh9FBJd1J4U8npj+rHyrCeOokpfOI9l6tCigpjiCd1aUkkVSlCd09H+GXGgYny9qYDFGZYs yznfTm9zMLLUs7/0Q2BWWEeXe5tnnJu0izI6dwARaQ0MSLkPDfxBA5LCI34rVuO7klb1rvYHjAtp 3F2NdYU4u98q16l8gocCC1deHMbF/xyE+O1nASkIJXG9m0PhBDobTYuDc+N24sv7Y2pOVI32BaTc UJ8aNuosEYSSsKHoy6OOKFOUmwiJGEnh+gxOJTAhUjBEuwq1udRp/Yj/1jlQVSbYlNMKmhY14Qua zDHkJoNAHN9n07acgILCnNM81mY5ob57vBTVadOE3kmKvn1Vf/dhGV0tVYQNZXRpGVcYpXUObaC/ UTP4MJq8+DgbC7CYDgvDrzcDXtyKrkelo5NGEx3G2aQqVM8mQhbqCHxE8AY0V2C6xigAKpdYkfdH aVC4GVYo7s3amTXwLSfYJPpZ/FXkkjGG2abH+JvhsKOIG6D0CL0z4jnB8rsjBq7RXhQPfu9yKfdL V4y1S1A+Lwwc/rSHDBUOwWCmsBGZVMkf8Mk1JlBhFh9R+O9P0IDbuRz9OYE1vcqEXRWrOvnOw3Cq QRZo79rvJ3cpduq0HobkfvP8cdCoGU8rCcaJ7dhCUChsJOrNRoHAKf+3fhR8BAf/rqu20WfMKTcb gTCp6Gdr+OkBGws6+ExfpCrhqvMDr1htJmr9npz9EPXi/yvqLe22JKSUPeePDW5pLyC5cZl6x7tY ec6UqWr7NohBOYnddcpGmh2YKUzg3PLckNuMcvWyxkL6RUKaQj/QVLHFZu1IUZfFUEYR7bv9nvuN fjO0WjC2HJaB+lW15CPh8gPYY8a7/RmozBR0SraAWDsM9EfNYD8SeoMwe0Ygddt9EoNfyj5wHglr 3Ze/MkfSl+0AXE46vhWa4MJlYShEM7GzLtRAlWMO22rwJ0lucDaRoQD8pCIAn2ZifRLKKAPqlYDR sX6nlt4oxSW5tGSqfng/Z7/xNsNxo3WcUrBpwfNVDYpO6zWnjrq8SbIXQErxzKqTnjI7QmXlCi0u copdePO+luUdV/g/ackfrmghI3uCaOt5J8hzFFWTwhqkHlbBZssWP8gFvUF38irrK7wIRAX7qGG9 iNrpIZglDP5pLXt0Idw4ri0jjj7pxU7quKYzIGMlZSjCaPrDgMUNANDlg4SxIbClxHYZOrtCUVQN 0lREhMhUFkWszaGGefvvxdg2b89R7wqXp8V5NC9Ns4p0+MWqrlp2L34Bth5au9uZ02AfMYltyC5S zGPCQLvIbH9WGoblBzP4P/lZ3BwfziQcPCni8kQG6zoIZPslV9XoAhDv3XWxIrHbj0Mjf3m8/VBo BEEP3CfDUCMBHFOJXv1jUDa6uTSgX5WTvkGF9yWR1bh34wfDFxYiS6kjY/quDKnjqFz99hz/i5oS DJPqO5bbc6pkjeZzoZSzaVujQon3w7DjwFGxUaysiLE0jeF3myPJI3kgDXl4MDdsWyatH5a7oWrf fUj/ADymddlV3pQqXMOcdj74B5Ubf/3j0yIY9YfyAkwjX42/261iOyaExxpZf9hmPadlrXhYs6u8 bSXjpL9mMKJBOMCS4M0h6HOkfULpHhXtuf5wFiK+xziYMKCZdnoTlxcjfcAG0bPIQfBnGP+HB3zD A1XncH+swe99nTvR2jhVMSgOPuDoXdtfd9Km8FBf41l+NNtwNbrdMYPOQA3hAOt3wueCOa00jJfw ie6oWNNTgbp9vTu7sKlFdZIx1xaFYKixLFLkicdC16IxHR2JlR6Iou8yKuh78f5Rud92Jr2XN6r6 +uv6nkOtzseKjtfxyeulPUqiozLwIGF39EWQzuq7UBMKZEGkvLxosX6c4MMU66XFzF6JinmrmdoK sI3N6ajfAKjDBUHM/IwE0B8b5J5NihuZYqAAaVtSK12CMWzSQKhd1k6vO1DAPpg6rD88uoD26hSq KxVFB0g93Pma6BQGC26zJkvA93zHVBLV9qzyySUCPdnMMMgDMbks8t+oxralXMCSr+gnsu8UD2Nu pU901likLFuMvfwzxXlwJ8XBkRhEjEgTTcB8M937C+MTSQtU7MS9nwHGmMWKU+MWkdjdUxsHEvaH daCUMyi5AwlTiEVbVHW6HCEP8xkcUa8U6qBNVJRfMwv+yl28YqoBUPw9v8Ep2A6wXDCx66mf+LEr 8VCWQIn9fscdP37lgBLfgOp6McIcUO12KchT0pbi781VbJ1tSU5lHpuLUUAAnE3QwdUWhwYpqWE0 msflI5Hw4orxwlIdyTGVmlVt96nnSH+F9BXbZErqsi6DOueDlmuiDB1TyTSx2JKYkKtPIY7C0gyf WD+PJhac58LghKvdb9n+XWTPQEy31RiuO86nkjoy0RtESSfbknTqo+2jmz3JPMt1V8qslNz/x8SH LX8cYXKLINSSmR09y+LIpfY8qfl4Taes0pIQUzOIxEmjDUf0YBFhUVQqAVOZUWy6xA0odKk8sSGR 40uqPHD5CTFIQgkvLMA2hHa7z6WKzK2iSg+l5Et5U+Z1STy/hKOZxzvK/WDOgMKXa6o1oF9pJ9TF 7khBv5TcANm5y8cMhAKNBdQpMlWeo4Dfb/TQX5tiveDwsnoJgMVjYdSg1B5bVueQIi1FfaotC0Lf LLgO7aNlk9ST8BeBh6Lobg4Z+5GcNGTC9CpAP3jZ9ra2LjaiOhgAcNNCZc67yA5kSW2I7j3Knqrl vUTNxpC3fzEqLTkZS68qU5QO85tQ7AUYEYLv4QtykJmaR133ZOn8vpjmc1ui2JvCddU+CFq9Hm4r hYSSedGWjcB+mi5GCxRcjoH9r+wd4zFSeQTLt5mcqey3OvCYQbE6Vg+5ZXOxDjucRyqzyt55I9Jw Rb405hy5m3u63eAeaqAwTthf9iaBceV7qrBipQ0hZ/T/nyT//WLWjX9jmCnGoGY55OI/ilUvK7r2 RMIOtWnjtuqxp+577HwDIbLGor7GIBsXXZ7vjorPxqvUKfc6z497YHOeuHkUMeiuQzZTLN4eSaCE e7B7i76wnOsdbdQgqYEMHWuqovYRm/NAiKu6jvAu/ihAl9bX78v9VKg/qZxL5QTUGHUJDHa6b0wy PucQ52DCES+lLtgOkaInBnylWH3hN24BO4P4Gg65fG6gvbxnrI3vcBE1vK2dKHR5PvQKg/lx8Ji/ vgNZ+FMdDwHp7tduxQ5zknjBsJGN4daXgcoyt1cbmlzB4sCdCbzlZvMA5/WAc1EaaNn0Nfrpj1fW LsB5lulzI+E7qL+g2/0Kl//RTr8d+gR7HnnrobentKz5dQ5cC0eHAgH8gybuir2cIb6WwS4N+j2w DP3oXI2nsmGrQPkeh5rXwkuUXN3S01whTB0waSsJ1eKfhTDEmrrP0YBIRlfSt/1HgKA0q6kBzmgN miI6jI5k4QzvjjkQzeUMLCfvcYTmq/ogTOZGI5IX+uIf3ZqHmPuiu1aJt9Qm3m3jR7UP6u02Riju 3+KJtTe3722ggFtY5X8DnUf8crJw455fwo6I33MwOz2oW1IvyWPLu8uaNgVOKtY5i6YZIZPkM2qW RmVFgUCVQz5E7q/Kpk1LNNqKfT01PkcD9JrXDXsuW+TrqcwsyylwumHMmrYh6dKpzVS7RIQJ2sO/ 3mkarU6BBoR/gMxvnMNyljnVTv5UHQ/DkGanaqDPSEsiS/4+fXR8/7XlnYTK4+ctYqb3mLQEOTn/ igG3oSZx0chX56E/7IhGuod1WG4Yy0ofrk88rdPXP7KfRQKFJFOdnz37uOaDvbhh2s7hTBz576qw ZfnLfVoG9kv2g/Qppu/fUZFy1nT6HCjaR2R2BegPeMgsibh0JAw4a3nIktrt6rss0uGJEiNU+Xih s5NC+ZawN8gS4S4WBg7+9zXKobHUR6N989SYdXFLfhXUkd0YzDLFN0Hmf2XovlRXKrNC4E9DFD62 ZhUUw2G2J0XvdmA1AzTfe63RiFbwUeHMnLT3EfKpER+slbW8Xu8nM8PWSoaRS5qM9uvgtSZaVW3C qsh298J4qGRDJYaF7CZpSZNq8Ia7fc4yf+d6Z15RmE1V9mwdwOuQsYWiNrb7JFnSC4JL8fgWs0CU jmvsmLmfcBmcuNzZrPi8ROtk25lbEheBw0PP5SO43v/Zvcu0/dmNJACghZ+2eyOi90cUWKEtX9ux PUbWghqY77F8W872fOuuS46q4Unqqp27ccVMRhEjdEBH/JKgKkoWr4wzfzu2f9VwjgYKTHrQfaMz 4N4TB8/3iOzq/RHvl7hCBdTlp+R8acwTgEOqfWzKP97LHcGuVtRz85IHXrMUyM593MDS7DJq25NK n0yNVGodyZ9xZHeRhnQhVimOaKZghDupC8Uml0Eysuqb8wfVe2fQCg5fEOlEDnzjr7uzrPebFGU4 LnIHjJZthkxFtlaHp3ZS5+X93XXHXNtmsv427UXlHi2N9x1zfPcjr2E1GjpnPNPtz11LYj9hE4/n 7DaYBLQhT/acVpgib6Z6FOm9VjUCHUHBKAnyEhCxq7xpINH2cBfj3EaoZcyAk8rVy0pQGVeSYm9q afpMcVeiJZVVczhuGo5lUIDFGzpZu3nEcY+524DmFkbJBcu3PiJVAqTwT7K9ryQl7IP/XdL5K3l8 EU82QWMkfT7YKDehdj4KtQPyBOnHF9wFclZA7IFkezoRm5C5/EaaPGJxm5Ebc6VulMEguWcb7EWl 7dcCJFVSzocLTObjFiIzEn94BAJqMQ0bpwp0+IP/HjseUny8qwjodeT73zlCaXjMRswDr+IQzuHj 0bJoMstMvKhOZlKufOZQQaHjH6/kwflCkhGshsvlRe0X9sdQH0eCRCAEVJrLaoYuVx+dJ7tXBXp0 1UCTMBD26OgsrwHzr95HQ6gbpbqrV4oYKV5IF6H8QgIWki6DbvFsTsWa+RxDAT2zqNQRg/UKUljl ds8vvhMCQ8LeRY/LvfxwzNOsKFHIsCbwDx+r64d9Wst86Jsv7U/tNsa7y4P9p1AQWsbzKhiRqJNc 4heK17lIVssRVGEWFDr74roymwXaW9Usoh4E2KC5k+lYnNpzaqrxk98w619b4xRLcTjnvRbAgbTW WTnR96uscPtt1k0dvK6Y3UGl2dKok2uBHZ1aVfJBFZSzgFdQ80c0cAGrQQApuzuaKoTC88dGC5iL FU3zh+HFGVVHr8W8ZOWNnLyopOE+kmxpyyePqvS/3MQhXQh2F3pxvMLCpvxkYXsOSnHPecu4j+C6 s8+kAgKV2HX2gYZsaBlV+/CtTGduavpmW5cYKnA3MW2U4HHMsFjoQULB7ckUCto1JPSs0zhTtGkv TWQCsmZhOqKUtU9w56z7sKWZcaqPwwG3VW2WbrqKB/pbTQUqHo8/rKIYGNBM95hx2cPmPqgFSpBC 9CTncFj/BIt6Bx5Z7xAKLZnWokPxDrd+YKKLEko5NLghc/ZuwBXxASY8ejn/f6D9AyZbRuh3rv4V EXWkjyZzyO0s5dVvg6UueFjUTfHMLARm0eDaPuTY6w7MQg2i/jNJuSVnWcFWTrV6XiHQ6yPyQSno nnRL8Mid8LGWcLtxhrK46U4i81WXLxS+ksfzn+1aSWRr82djyOmWjlW0tzv1tVamosKrXeS+1oO5 U7MerNSFpEAeTle7KWa3EF4IfJVUJikCbWyu0VOvlRSafmu8Y5yxQWZpogKKh0toLL6xme1frX2l alj8BKsIF5Bhcd5dAiBA/vLKjFUNL3gmuaK70ws0n2FfwBK/QjVEuY/Sblprm0o6h/YcGSRSrmxQ 217Nno438LmDdWF7+ZvtMPafCnm8Av13i52ShstWt0ZFfpTbiQiR5rHNCJWDydZ88PdkKW2qAL/0 w8r0TPr7ouQW5Yb2O5uTYH0U4V5V/z/cikph8ndk5fFJqqZkQFoKSvhfwtqEFnJUVAZksNJXQvkQ 6FFC88M2ZdlJZQBosyJXcu2EwfbqyuubXYYsgtc5Txl/FKkjGu461ujBTEPxuxkETWLYPiT02Txh uHFAui6EuxkUx/ZVqZH1CaKHDc5jMFf46wVZ9wRyacQqlrzcBP3FHH7AHo1Pn3VqKUyd83vSxzWR DTVPLrme841sPAbTLrPYYcJIbCS9SHlmOexgagHLRCkSgbE8Oj0IGdfMiwe2uaLHz4N2LroQtlZQ jS00B1lt3Uy1OfJ7rscFd+h7YbO+V+O1EqhOwDjY9fgwnCd6aiVbMsEhZXHTIfs3s1TuIb1u2WY4 YeJqsTHnI4wIE4K3w/8ZCvqirKWSYJduh5mQcViSHi385m3OIil8x6KCTYJaVpEE3bQf9WqwHqZN hyF/FHTMeVGWR+2ppRwTvcM4mTVbnflry42EPMmug1e8pY8SjejGMTVPNmJqT8EAx45vS5JO6GPz hg31w9MSCg6Kk9cF76Vt9yMD/QJVAzzKVIuh+bwcanOhUnt5WRKWwfO5+9b3+EaBWxAjRm6HSFSw wxp5uku5+xbPxn7ejJSfHaEIQxwbJ6+XnbTwXP8by9QqmfIPqMoMFTjTKcCxQgzL7nKgatoYqsFt EDroYpgrgXSaMIclhDpRSWWFhPtvjHAaYwkOGxFU4Evy/UyC16CePLQYYNBuh+7SxBuKGItXSQS3 YMNc6DY4/A3FZWQP0jmKh/77LCivmUej5Pox6UMK0yr+qrTShpDAbZtk4fK8bn1hu4QyjTt5pBsg JqJh9jXl0gpj0vu/sAbJE5hDuZ6xNsZUHG0jFBeFASITqYlmxuOKrMgEy/vspzuvwkbsznf27+9h CMPILa8+L7/sFLQurYRXGicmtkiFArAso6Vhcr5+5uLSoZFjBHmPtVGMThdN7gwKJe4NnFeI5gwK nTbTyCX/TLHwP4SnQ3IvgpaoMYzVZBM7SKTjKJ+bNBhcRbAx01OQK5JXiCUhc64//EnqBoRKar0Z iq3EwIqD+YwbdS7jHDOdjJY22ZMaJ2tevn7BnBYyvY8FqmEHuTR756eeur7SxIbFLQbe0wARBZMC biRUVwIdy3U7liWaeeGYnDUjIRnzjeh+HOn/Lswt2gWxo1kRG5hTk1z+rUAJ4QxnDe2QObVigzCf h3/CtFAce2FyG2T5VQBtGSPADOwikUHfloWNGrE2OHaABxtSdd/3iAcj4YWmwwswDx6m1qKsvVZe U2dH5NP7Ojt9Hq0PqHoblJFxt2/a1Gio+noYmVKhL6cRnAROnd4S+PTECGfRuWXovEoUAOGXB/tQ Ax85Ab1tB7G+4PvjUbQDb3WuNiHyO5T30tf7LLCEQi4ekk78Zb5l+F0s+HXXuUCM12UzrvSen/k+ //KrHOC9TntgHu318v2BdepKxFafjUj1mSe9WRohxR4we0UZ+SiWCIl377BOTm0QzLUcnB53/g/u C4IxTfopMRuhDM5wGYAl7Wydd+ccSQEIbImSGfOLPkkzuUhtids87rEaTDVekdFsbxI8Jk46lq2W Ci1V5bDRnKiQggf50sFl9vPYqBMUiXzByP/FrPQwTkT0gx5L3CD3AVyZ2FRMlupcILLqeA3M60TS Qmkl9hdxoF2zK35l4MeAa4uVWqwhnoYlSlloqBwPQiqmhYeUALcgssPEYBuQUVy1qQ1uyJ+xAtpA hke1qA8UsjQrEBldBZSz0ED/74f6MDGuGheGStGioZ149KpXvt2EcHmH+75KGIvJ9bdvkRiVmivm gKIPj08sjkhhvwZrQSKTgojwPzVytMhoilZfm+qKt+cOWJ4alYdYAthMpfKq9C8Wd5OxrGu9V1/L spcD2YFIAQ70yDmORLGNSzCOdt3evXXmhKa712zU+Y42RceejCqFVKpiVWkI5iMfY0jXBbzqQceX LYMrEHGSEt5zzsASkcCBnkCv/6v1mzDPVuQyLXBn6pUVdF7Jo4RYaN5BN+nQDAi+GJSLRx93Tcqw VVRQ8pFMFRBIg/D+NA0CS+TLSaNeYC0sQcUYBZ0pqN04B+8xHwmaz+9irD5sjJz73HxBKb250VKK kgpDxbp9XP69WQpqKgNvDP6QffB/qJZF+OENldkCF1O68QbkaCwyUrZwQCi0fB3rK9rSBSykpNPn uZxERXpG/QmAtz/WGlJZONXjuNpbuYKeypBi14qjbHxlPdGt3zToFpS5rdg3XSoD87n7o3SoFi0I wOm8HAHAxUQff9ZQD54YT2GaqBY9T9ot0eD+hufGH0/AFQn3Ym/YbvznSEVCaaYW9+2niKeHaZfz MbyKNNrpeS2bhzuO7jAdrDZdCU0qCHKXQD+B9DjOXOzR+BBczUjjNN1cTRDiTLfZmO+tT0bndD4O jnG3scrpkT8Q+PnasEnl8HcOy5now5rKgTQg2xe/Qwy+dBtGgg5kiML/Iu3/ZBobAH28vtgq9hW/ afXznbVWIn9QMHkfgoC+lS1ob2HttGzLb3dYkvmw6rSs987Hc5+1qCiic0VKPiAgvIwS9DBkBPID I5deClozOwzknxjtjVUMrT/mNq5ssn8N2D110RdlkyHBbMlrV5DdaEMLtW8ILpdMEsYr8RtF/osH gYPv5v2U7bPGSFhGAPx3Snzt1xfJbWmJrrt3UI7qCgLV4w/LVZJxKEjF45AtKSq6QseyhjShsTgh Er+CdjWlYkCQlvW7FsEUw3aujCWpJRbl3cKNM87Z3YMAeQA7f6bv/erQxMaoarE7amAe1Obc3eGt KqQsWUHwOGdTvc1OCRG57ea55ALQ+o3Zphyd0MZJV/Q/2uOfTkvRgJwb+xG5GLQDKqzUjVoNoznI MT5lg6j477kEFMQ1lREjM/i/mHVdS+VlAkSl6w+eOqz+LRKxeqb4qWWkJ2UKekjKNxc0rrziB9UO 2Cd+bTLGKgSTNohRXf3aWRNoIEHGbfnPMqd2rctqiC7390e0QEc3yI+xuGOTICjom31b+I0aGIPY m3u7nZxT3NuCoRer6ck0hMter1c7wikJZ3p3rajriISiJlysq8/hAHmZm7yMvyAjNXa+o0e9yImJ DE7BXWMrThFkdPNariSvsckSq2dPvX0yDk83cf9fKhdxt0gvStqEGg5ge9GnVYU3nV3nZT5TJ4nd sii+zRXRokysmERet8nyNXUg3Ff+LPoEUWrxnAJTdEAa4s+GufbFC1Sr4ygyGOOeTCUy8BWAczNE WnY39/ggK8ltJc89FExSk2FJnHd/ayzlw5+CgZXQe4Xj9/9vUM/qTCrKGOA5JObsXOAgY1DEQjlK +HqIHwwxEJveCSfT0IUChPXXAY9mu5vJLblEr6xsaCzFPPXFhSpRC/wY28Xi6yDOVuR6jmdoeg/Q 3rsjeAWvGowBlFObrPU020dCLazbpK3pdcyZy1LCKTPaF4XT6xzzz3u9mcOWSf6mao8JyP5Y6Rwz JYWuOuAsU5AXCTHKCalZzk1SV26eUjaVcVFuW5VXITYdAhgNHpNE19bxg7MqVdYoW/GHpo0f6FHR ny5Ob06gGIjDPIOJ54eNOvVqemyk+lWU6EPuYBxVoU2j5T5DbNg9yL/mkviP+iZ342kFhJpUKhk9 yLBbQJ8Acvpz2vN6hQc25UuQNv3Myhr2rOjVXFdJjFXVh1qp4E9MruVyBEoRcoT4BpuSnprqsUuE QMRvcS3k7eeVTgePkafb8L6jY5vmd9771KnXcMSwXtMmLtgwmhq362lOR2Lh0AfkLVJWrfh4VL7T soPFDbQHgM5yHvxNehY8Z+GFlDAbw0FDM9VcdRts9+KF9xHH30vhYydmiP8Ipt5S9b08seocfqfY moo1FFa2SJ1VEdA9+qXrYq6kcO6ZlE+BT/SyCJ7Ck+FIAlzEZt8BL9vv6OINcc2R6Vcwr6Yd+D27 b0jc7gMTBs+A+7YqNMbFwZAUz18tuyNSLah5tnIXBFOkqsNbV4uOiOLY6EG37GnYn8FjpT0blqhv 0GYgnkdl5WSbUFUtID82xkJRgKPqhZw+V+db6HWlTz9btkP7Okma/jbpwBZNaw2xPVtiG4Hdsvzp Fcj0UPi0adMp/1sulXDR6AWURIxxcDu2Zptu7Kd+ROng9XVq2cb5W3AN3pKfxkUYZhWI7JzM+FS/ 72eaUDLtBthD+6SLSirJiWItiT8jXuX29zGHhI/H0MsX2r3L8bVnvx9z1C0xmVBD02a4jlkIVr/9 trEqSseHwLZO+EH0f4qnvYaQmdgyfUFJD8cY4IcnNEF9etsi8zZWeiGiQY34RckFcDe6kQoSt5we 6xGEsGhb9O8TMVpgTO1XOA5XHde2kByqrhyLI+5MF5H8NENgxfOABuE0htsVlhGztZw3E8mTIAJ5 ullwbMyJ1snjc/ILdNmc6BxVRXV8YnHaTTDA9BSnfAAXEGEdvbXVCMh2vM+TItxy56bAw/kAt2SR X6NtPf6C0InvsSgSgKtFJA1HR3GY7nJVoZkll3F687CcODpxJBO8isE7xN1/wuGCXai2bbtgfqlR lYWLdMCal8GJsSC35QD9Ibskxy7CQEnPqiLOUwE1rFJ6fFE1torQUkRCI4eC5DQq4RyEViS1Sdgh ovZzsjRl+YbbX4e3wkj0FfGlx34j1Zj8PpqWLNW6vmwCQVdcJpeDCW+89UR87aF243+irkQ8CoOJ SAu5zrH9KWLKjPsW6aIeW/J6sftLqulzC9/zxQdDk4/4qevc9g0Qnn3uvgked7gtpPMSoae9kXHc X+muiySVpeDrvUlQ9pYubeYXX1Tz0ov4GAd7T2xol0aGmVezwKHqJC9QPnCKztEnb1uv3fbYei/W H+pRCXbuEdmJQR0lGarp8fCCBAa32yN8WiUR4vv8GzE9iSNOjbCUFLYI9UWbWHIiBuyy0gIhWHKW cluABMUYpMT5sbIDWUod7nhQpaXfElX9OidejDn+2mt8+faMGtlWh28Ci+xc6UZ0IrQNkrIqherM NmjIjuioBQGMzi+f8guhU3ieW9Rxiaj6gRKLEdnlas1HNpmVQ7eShIopV2NTtRKNhVwCcPYbXrIt QI/MyQeh5crzcJ2D+uBcNxX64bbDxmCErNPYAF7pGEEe7RbDHvPIz1IFHHmQ/tX1UAmUh3ke6vXu E1oKNXlujDamQfwg5vtYj/6a507BVl1hnTlXewMclC0TjVBugESAEnr/OOnCWr8YBazbU6jYu49N MGj4jUqo6ljcebUBd++MmobS6OcJAE448MszS9hyjJ5kXacxARtH4ze6Y0bUszcbgbL9uTkC34SN wq42BTldt1NAu39gZjf1hMEbNq/Mo+6SDBAz/BcGg3ei6Skmyq9CwXfcPAt8Ku2BPyMKx3D6Kg/s 5iRyn2HAowNKLH8oFpy0+OYvGywvRcWT3lIk7nosgtjD7O3SEWkEzXWj44X4Bba8IwzFCur60xSk 7bP4D547VKoOKjS/7xTbvz32mbLN/C7RbOGmFQNxHY8NJYRjO7I/X80Cdjxa4Sxp9HFl5twiyRHv r7o2NXfRCx5lDzUNJrDjPdcmZlPa+BUzYyZFvjAWPpe//3te/ulDC4l1GsPHuPbKfVPd9sCgonfS KpTiT8PdxWUXCi2No5dVFnRf6l28QKVr+C+faZonRBME+LtSnhtPgDSlYgjK92q2/McrRsToZ1yD 4O7PTTG35ZK9zJAxg4gQ3ZoU0cxib4+YZ4c7hpJBDiCMTF9SrqPRWvsoeYB8c3QpoixMKkIMDqBq PzEmMzGcmT+bEonNx58vwzk6kX0ARDfsGAu9wxA/Ug5jDS1b+3yuVu0nr5W1bY2oxo05OT81LADF bVUslmMGyU/VbeqX1sjp77UEtLf69JFMvPrBCZlkhN2FnqKCLxpSQjZi7A4bLu2FCqxWaCbTjX30 HXO+BBbO6VtS18/toKo7dV57VVTGWHQ2++Vnmwdv6F6LYoVPx3+EbbL1mFhOzxbMZp0rzN/k/gxS 7VHt0mdH0tcA/FFAVwQwyaHDf+Tw+XP++tsuE3m/rJgojOS22MI8Z7GQzRH7vj5J0OG+IFfe4h7R Ihc9sp26GglXwSa9OrMGiM+fwve/RR8AYcQzydzN9JrOn65nlAQrYY7MrsxhNDXaawllKszgCtQt vCF77Wd5/JXX3p3EcOFAwigCZE0Ibu7HnI6I3+KXkwykFsTzv9ZT4+K5NKH/3f8j6LBMA/Wa9l8f YFZ5YLbbgAH53dtPN1ePT+E2YOcTBuJftGCF79MDevq8lCfAu5VxdFH9AUDEHziU3WVyJumvXLJu cDN2oNQ81SiMJP2lfUjItCYQ5S89MDnuEXvspjwGcPh6N8KAljxu885Ax1aTdnswgJ/lpK9l/q0n HmJpi1lfv+oRA6jIRnFAgNb8qTRBkC69pM9fPveJzMW3gLqz0Wuidl6tdRLM10aUrAFGwZNqXjZg wt61cCBwx7gyeGh6C3+SSHtqy4NfuNUFuuxpxRAcPJYVNRKnh3zkFt0nOcmKFmrW+CY5aHTjZzKw yLajIYuMXPqy7gKHJA7efvtycxUUqlviNCvL6UTGXgOzmircnjNNXHaKxsvw22PcMsMvsKnTRw41 AEaaXc5Sb04wTZ3P5pSVW68xi7xlda7XeIQrFUYpPpkfZ7KxQF1PcoAp1vQSx+TJ2Ob7tICL4QD+ Q2YwgQFo4wg1AJSAYCtBDvW+3S7cHajDPhYeP3quUjDHX+ENk868+XoV6dNQr3JEcwtMdVN1hLFm 7yf2wNhPESwSQmQ1KYP/aQACI9GyOMXUtY2W0BUGYh8FfoT9jekSQhS1oTxtKwi44/3wtEecA2pc rDJUIJSwMuVxsGJx3anJpP2N0Njmbx9rRPzPq7bGIIHeSKTk1lTeG0UQe6knMdkjQ/mP7WxkheTY 2ZwdxiC39ahaAFukSImDsbHvKY0OsEDEFYRA1doWa5PV4Fpx0HyyAFQ/r/Cwo8ZVct+aZjmC6nL2 MgJ0WJ4q1EX6squeFoKNM9ZEFkM8u7ys0x5gEyd9A4SPmsN+H2U0JkLmJSLZBQQunYaPZ6lRwvni Wq0EmtG6Kx7klWKgaeQN/vvWebIYDvPZ+42APT+m7Cf7QcKoeniPQA0aLjeepK5ISm8DJ3JHB79e 8lpeKasRdF9Dve+iBvJjRDejY3Mv38lOUeA8f2aYGmeQqe+i6kVu1ZJXO1pCcjHZCxxb0IEbg1KY h+ob752Sc8aJoae02YikQQ/Tw8s0sIGftIaAxjaVetDzPqmXQidfj7tQFh4Q4I0RCNVGAKhw5MJ2 n/RoboRm/3jFrufaeWp1VLzxr2q+qLpK6BmULFNxuoHHYZ8ZSJIQ2VMaWMb4SvmQ3nhkxTnMnsyv 2qD2avA+HBVg4VjISIrPSHacwPVhg9IjxMMqEU1u5/jELK+aX3HJi7wf62qLwAKBblgiEBWSNa9j bVwDarPny7bRgS5nscKPWzme9c7QSuaDZNQv3CDah1h+nTPC+1Yp/Cx2gbzu3gJ5t7dfiQoBw3DB 4CLYh5ssjHkCwD36WYN1mmY9EivGsVyP8fVkDdJEyQ3lsyXxrxPRjrlqJtvdMP9V6SCtTXjqXuKS WUOZsZRnFNUYEJAz/1miUjmFZFgbCTCstpVYp0DAGHzshhnOFbZgDXAhXb8kjDoJ3MtZ3Vj+XOob Q0RSAbIKB5Tdbk6zW1lo0QoHTdlDEiD3lPUoP3SSkjsIYxysNKg/4XPe+4fZmV1csToNs2UJdYTg q2wOT3GoIdcf/+mRk+yofEOwNOysv6baGzhwHZ0tFYimHVE6QhXHb4U09JIuu7dtKAlt67B17oLd VvN3pIVf9GrKxcT5GAc5FYP45V/1DCZRizikTYR+RappqQaA42wYtT+a2n+fgrVQFcPm8zq3fmcu Eb9RxAFT1SWkMROnXkc8anohpkt07sAUDbLTqxxfQjFOpOQ08edm7aiX4rEynrjmXvZaKbU0V+7B N/qDZeID6u8w/NyShkq0py+/Yxx7ExSMkJQIpxQ61PSgLWadzsjnMRUUsvvlk8sXZK8jpybhjZJt vayFzWzgzGmw5ZZjE7U9bN7DyrZwnR8L/cdEwWrp98C36PEOADlnS5X/H2jomfise5NBjptSJpBm V2KVQrcfcYessHyEjh+UPFZQJA1Jf3BZNQ94TkqK4B3+Q25y4W+i0OFblV7KqYbWpoyYewrWRiDD Ks5hmENVYN+i2OzX7ohm2pfy/DXV0rDOkhN17bmT9inHQNKRoRIxSqBYCwpuukzHgwHIcMCvrpO/ QsTonUm4Z0iypSF+sPbsqadC9JUPQsmc+XC+rCxDanTZc/fH+2NrFaU/uCgCgIsSQcByzxy1XqGT 1Gzo672nQ10zq1yYIaCapm9zEFy2t4CAR0Gjuw/lPqAh/YDpQxUOu/8ubvHpm2eH+D0xw6d9w+jk 7Ddx3I2aaaCUGGOIh+Z7Xj7vKJ7MxHibk2ZE38B5a05WComHpmxEDZP8RsGPKo4njTYLFQuq7vKv jJ93nPVGFwBpGYlbd9S/irvKfw7uueeKJ3LrmCnTxTBumS0pQRuCu8+SmXJGSlcT4OdlDZqCsMh2 VbHVd+hheDgstcBIngGVXz0R8VHwFtQwEGa4er7vvi/k6BC3pbfBnOUjE2i9kqJjvoXYnPWkJ2ox 9/ZCUP4yr/ug51URQZEERu2aOuoCabFSgVzH7XJCQnNF4W8feRzoj8L7GAQZC0oqNPlBhatfam8K 48CofqAWCi+zAlSH9ovcB7nsHPF4Lz1HQCwhSEBHqeqL9KOi4kGvhth9M5/b4+czjSDkcgE8GgHJ de6MjY1IVTgiqPeWvRBAuLQPvmC28s0STw8LOi09L7TQ4YpGs+4DSRzV3OtdzxUd8l4lOmK8lh/K AIZPRCD1gUfUWb1hsQiN3u2wodrOrt/83AmE0GVHTPufCrJmXj23WaIitqqCtHnhR4u68ZEY1Fdt 0nt6BVEDsmtsmQYN0D8kLvibzO8yNFygNr4eoepBvGAmDLlfzaPyJnapBuv7dKGqmrEZBYcv6QRn MHrcOaOy9T4JGJXuzKAjGz1O+dAWgShgw5lpBOQULf2KTsXDsEnt3wjt4ovAOkh9ReOTM5e77xWA MMxTpDut3Z0G4+hlJIsngrmA7dMyzAD8JU0QCl+cqIXRz9B7N9kWx/KOLbQLUdvqQ18nUXl2bUTB Rf78Q2OLmVW2a7vOx64V/KQ7+shTU0a8kSanKYOU6Lq/+7uTR0vbo6SIFS61Y34ODVHMDM9LtPS0 yUJUzUZk6FmKJuBUhfvIdS5cx0z5Yy6XRBqYAIJrkvDyQGR4uHCJDmUOSeKdSxuM4fjXGwnnNcsT 0XVLc0fCIA7NFxMK+CUllLH/iSVAnBejkWVEr3pcL1xBid8P3Y8oaFUg+n63nURzJP097/JNr4zY UWl9+Zlpqbvz5PdvGz329yWVck082AFpeljRVF1yQ9TerzUfW5Y9VbyVpXuopdKdYI6fNeslEJ/t 7/G4nPL0BkYsZCHmKz72am20vZwe3NUKL2VsKyfUuWug9myVvBaPmblILY427/Up1T+7YZEP0kPF bj4t0b7YQDLX5vOJldQIRqlCWErBd9oxUZa2yKItzRVL+mM3mjVgrTz6inEWTJ7pwLoRMa6CufWJ 27Yon9D+xWU7wCozfEDOtQ1kx/8PcwdXES4BvhIb07HxKVgCW/q8oMiXPRIt8r/2pcmVCRuaWonW U5rr56wt8QlLbrQGg9Db5ZwppBVZO7ghjpHCD/+QWuP6IPquTedSKFj5YXm3dmkjT6D2a/DDgcvu 3V1yw4hPULAicV+EBM7wI2l5qIo+oloz3DgqUYqMrcjBosOKlTnRwY7tloyzVSpbTj86ljcY6Bny xSZGQCxHOvFnt9tRcktsmxTVAMNiBp1JJT8/FfBM3j5z9CzCcv0FaUbYManTXvI86FWBF1UyNWiL gHuaBBedE7B5K3ohLKTu8qL1nA8LvqONyQyruPsS63MSJZGaJR2JiwBtnC3osWL9rUUuge/ay3iI tW5PPfmSBXVctukcOTRZuQyehwNsBhm5yHOIFLXenomwSIGJLEz6ZdxjRyk9Hf6rmYs71mhxNf5Q 96IpgvZO163gmTbAIxS6IQXQnNiwN5SoNHRyEEXLWpoe8QfT+Rplw9yNHPVkRdCtKEvgpOtuzvC1 vZPK9d7KnbpDCYxs0jSULYqa/qEz9+M990KUqYBMW3RcKl6RonEorCDXcRNmyM3qeC+AL/cGRL25 8/k9eoyLUjVPC7yTkfoVl4z0KwhVgLpK/G0J/HjELIHSVnllb8u39ldTinSMmyZhdrbWHQ7spKR6 DKvKTZ68pbT6Avgk+A95UFkDrEb/Dk2BrpRSs/A9HgQt/EitVyi9KMzRxBUlUoP3oB4OTkDMleJ/ bHlttDYfh2//yv6YLXlqFUjiBtufACWRGHebzVjV6RD6JQurz88qKq97IKsRd3ZihlB8ss1duKiP P1v+6TozSmzqXkx7Fg4d/vIr0ZAG0Mv8R2GODPkxnRZ3gwWyDM5zrpLe2KaUEL6KIIXuG7/ZO8mj VGjyUthJhUrvo1gzUdoNO5b99VhksT0+qZQDYuigAQVqBgz1TnLvM7iJlrTKT6GAsLanU2LpZ6kH iQknSa5T1XQBMXp5DG4hhS/ts+ejkOav4gkD4y1JeOj0fslfeOEemm0al5CYyWBjnDNG9LfSQlie stICqa2ObohOj+HHzszbdqmLNiPW//v5DvBs1DYLnPsmjKMvR+emzn+RW42H6J54M29aHQk6Ken0 XcBJltmrJiPUrWm9f+UDBzZpvxymhcjObIeWQFvm9venpy1LbpihwCcn4cYSnAxkzB60FG0Lq9xW wC5NgeyKeQdAsnPEI2fQnorIF+nFvaSALw92DOu0d49NSXBBaybRuyI0x2EVSsdgNEWMyi757Wy8 FQmkvfnbwCgXaVuwNyClP3/Au+Q3Qg/J1JWEOOMVQtfupFbll4Ix1qitlU09ptRfHqyEq7m0hXUq 1Oh1epNDKUbwpZyGObVfDFbEwnveNJi11dxnAlAJTQ0UXWeNmlBCmmHUvxCQ1kUKA8T+JOOR/TBK 50oaeX1DyOtckLIJIcpGER2izZgvEBVAyoksWx8NXky5rltegpBkMzF1E6aNkn1Gx+lYEgSTE1Nf E+FLsNk+f1k0n7T4Dh/k2V8LTgzmPUTVzd0+V5ra4P274GO6fvLU9hSn03cQzWtdaltYVamu51QY QaW+WNdxiMM16jGmr0USK8N4KnUnB/es5DSg757WJW+wNg7b9tsRK+7zRRJOJCXqzR2s9h3SFTGB G5HtcY7bBMx/UQ4B0/mQDmUuoeHF2luPq3AuVqMfe0afxUWqHJcKsvg6KbhrCMcTEqiIA4XdDVUd FAKCp1+jXEFCcOI7mPnymCaBa+DtneNFlB0Pf/QpbuLfoJsiIrdTBVediYLNS5++Sol24z+8ckTG UCNYQQnh+9dt+Wb5kSnZuaSkgw136JF4C+yiaKBBM+o1HucjI8bsHyfcw0yQeUiL7G2Ahe6HDzrg D2hHYj2PTUblE75EopkPOaHrogdS60WnVFV0jnbwNngeDSDAsct1nP3FpEUWWoHvj6LddFEkuPPS J6rf8GY7yXdt41wgFTauUW/uk+l40Z4mtatS3tu+abyG7zjGS30iVemq589jeAemU4YQlUMyYLDe m6Okix2Y2UcA+UgBQ2w+Yh78u2sINcdEBq5+JAqzUuCTxzrGphx6VssVJ8ZRj6a41hS6rkYTWbsM P/qXzv8U8v7ERITluZhwKT7fgBmnmFYwX7EJv/nPTKOPl4J8LO8MyDX6NMs15V5k6qux2TZ135x1 s/FuCv/kd5bS1YDqWLzMLPbQfTruhmsyZVVJKggzY0cVqHGAbkdbW92nBdALhYHH8Oob3YKc56IH IGgiY+O4tv809KmKhAp+bAx4TtLmiUU2IGmZ5U88xuJQTBQ6ba39oGeGFmEnjsK9ShTVDAeUsXeu VlYDw3wHoUzgeBkImbsCxwUqSrmNAM8IfoWPHcnFl32Me0jeb0L7tQsTn4vpcd+Bjr5o6sWVnIqZ 8HKT15gWgtqVFNVARqtvj1mCO1AmZ++rk8G2z0KQ0oyP2pKwl1hsnOEEXJO7zBKMS0L7WDT+A4Tj w2edm9iKlyEML/bZ2cP23a/wrYGpjXJddsNtYGOY5g7QOkAJk3CJOJia/FEq0CWDEdYviWr75kyj kDDaCwsjk4FGCvk1bm2OtA29ExvYHpCVFEBztCJoRDNv9E4Pdzoc4mOVad4ZV5keIbsLnhLQTiOi 7+v/4wqmwTalRsd/2+kf8OaX7ZsiTvrrGhLDLjz7Bqaj/7llcMdYU/8FM0iK+w2LcVkLiV5mDcsW sQw0dJccEqmzfmjO79dELoerApMssAkf/z2aIGwgavpYP1wgLVsR4EP2tvZMFN0Me7Xk9xSEDuiq sOpkPovEgry0TFj8WLs2ZMrP40mIUWLgftMuz5fp8j5xNFF2xXaKuCgmlNQOES9DOUeyldK3M989 CI6C7jXMzIOtPmkqbvPT/EYZCW/lP/pfsYrtbs51F3AzmT7V39SxHbWaRFZ8SeNkUS7yN3fSZQCa Z4IYtvijNN9f2W+esuIGxOOZHw64ChsFMBaQ8pwfcAcZp1jzxFKajAuYwCv5N0SNGSDKV+xVvKfO sEBKZuf2nzqyzk3WAqYMzdBrXb0cC/EBQOQn78k0k1RAybNFlVk+bpXF5HeiLH+WUe6AKijT2aSm RmKe8a01WtDXkhLppeYRLt87XtP07GxAG4ugrQE9NWZ2A3s5KWEvqU72t3g+Vw/GXdYoFlANqzn6 ghknBC8mSmnAE2AVFW8tB8vzBfDnfM0dBLPrHzJV6WXHn3DvMAKTRAifXjLIBFaNkM3k0x2vsRJh wCuyry5szdvvDDC/HlT9vVDwlVRYHNl50ODALJnL0cZXCOahjGBJ9NbDsAlQsDV71cDemDrJEjdo iVo9s89ibLxAOaGIPrWUVkW8A+DlB2JPwU4MqUfgQdn1UACXOeiUQDszeyRwsBeL1CGkEq87f0NG yWd9Y6eDwXiMlU/NgAvD0Zwx1fX/HlHc0zfGbvWJTNBveot+cUd+lwKALmNaet6TjO/dMNja2K8n 1nMIB0usq0D/kfEx6DAR65WB5WXrH1jULMWuRa2NZw4a9xf6XLrwmSm2Bbqf6imyYYPIEcDKWNCt zXW0fuQtqvhk2pVfQAR6ctGid5s5ioCk80+h4CE9zEdAtomS2wwIDemWrRqOkBAe64hIZPlMEFlY qb0svXiO/f/6ubaVRLgqr18eajvG0ihq1oo1nzw0ROgckbAZe2f0FfC6KUjcDOS20VJXbQ9skCb3 njrBoKSjxNEdEmJxPbqKckv7MKnt6lm9q7hEd1qChsHnk9FME/nDgVKSVaLbNDa7DdQh0PnEcAMz aZjLEl9qMfb4jN3GYoO3ncCqbKGSybxKQq3yQwPzS8C3ETSGxnG1s2GptVMpNkpkV0s3Ni/mifxd 4i1P2Kmnqw5n+/IvXxP6jdp6XRLMHrzfk03HtOTBsdakelRu4e7pg1RtydRyvO5lXuMUa+SKXvOq 2bUleaJWs5zNaY6OV8/K2zqXifOGWDDHF8Mky7U2hLcaARrM5Ej81RLbQaCnb5im/9MI5Hb1qVgb DRmaDBjuG+2vy0pEmnpVXTRYxkhaKqjVMtxVmBlNdYzp0WC0s7LuL63zoga8Eecv2UlSHDW0paD8 cbJunCoT5IKr3gdj8RtcfuZq9J8rFC0RRJX3rM6/MTfhq8NDppvr1iHPUvgfBea/IcpUgwSyzYUG mE4SGAighP70kECHKF/TeH9wZ8sVDF5up+Pavkpw7/BL30vQtlnKIsY2rs0JQM3aWVobJ31dUT1a il6/DNHa1VeZA3q0gvVkSRCuzD0w3dorZifa37OKTvoTr3F7W5d29EFuZw2qLJr7RLLtfsVZswWj i/EnoD1pwjsZG8VyYnT/vScCyLzToTpgH2bFrTgVPDPAg57vBWOjo9GkF4Zgxxx8ekD1IMd3vDj2 7ovKNRwenxryFqNzhg0hVpmWZIVifGU6D46LR40SJYxNkYAA1c/WDm061+DeEddEuJ6avWsSXN5K v26iTI6cfkKMy7xabzV+jgwkYKc7ETbaLBt6AzQ4sRYI8/LvRAg8VYVtuIM+V6SX0549QeGXB/JQ Q+1s+onfYPTPD6naeRK+XqGHnbRK+r+dw9MuPThoXxFlLPbJNwV4aBo35fzK9elIdiUR7yfHI6zU zjzUX5d65bMfNWdBztik7U29+3yWOcqgSHyRPLH9CSq9pna5bL3B+0FPfwLjzgJwcQaLdxeuzX9S 9/rIngPOsfbfS5OH+SXsrgmR8Gh9sEVRE2IW1cv5BRT51tVrLrtmxRBJ7qKHJ5A4T6FllVbd96DN nznotvfv5KzGoICmwVPaA0/pVqvrrTF4nscP3fCCVm1q++8NJH+3dvbf4GxfuzWU98UhTmrs1Foy iw6zrTXLsVcChpiWUU9hM4rueM2OBCqE5BvZW42puqOr3oxPNPjpEKh36Skg2PtUl1DwHIGn22li VcqIYdoV+eUDsR52YeQWkrhelR5P94Ld8lkIHQ9ouAXWuyus1c0Ne8W9RIjc6FjqHBjVpVkXKAoe oIXNJDSoud0HG9CPsZNKNmmIMUzEZB5KQH7b9KRIzMfMbagW2l8Op98q3QtoUPSd96UeoU/2IOy6 BI2yhTxVUASrdg5ctEYH7taHm5hs6KdZX7Q7DP7cre+DQqFKkWbVlY9Ae4DDnOa7xjhfSfavt4+b GL8i4P2iwlJFItVwJtmtVNq8YCEfusJH7+mV67HeNCiOiJNbVTkhEArcYzd54nrDhnS1JoTuaEtS 58CbTLIFEfJCwxn6Px5/GFZiSU7TFyEGhB5gS2M86KI8YoXvb2gRePqFij7jmH6qBtnFiRWGFcLT CXFbLWnnRBQ7KY+5c14uX4uQkm/xTBE3fGiiqDEJiw2aywAfdDqjSWtspqNiUlX020wMC0gbEGCZ uv4KBukZRDox5JI5s9vQ7j68pgHhImPcYLT6k4h6eHiurXgHYDfG7dDv5h7TJUkolqt6IpaFGmSw n+mynn6s7yeZ4mBb+NYyXVSlcKkEFShDTXrBfrriHnECt0jMfntsPZqI/+JINXDV6a1VpzEZVzcx 5DZ2VFNnAkD1GGZRU7VWM04VqWWAy+5PYLSD17Z2t05DXX3bsN2KagQj1fIwQrWm3fmIgg8Z0AMJ kbSKHNxP3i3ZQ9Fcu5F9lZ5jzZcjGciFyswPApLkz7Y+47WMIAjCcnwmpD34jXFB+prVVeQicZrg XeoW02vd29NiLdu3a2twkXzRVf0nYB8uEb5apddofWozY1eDoTNlkEuiwwinv7Ynd9VJTiG666/H 82GWgM0QcIwkiYdUL4N1XAl2H+I/oZ6c5GAYhlhruKKsIRz1PF81WGM6QEuVfE0gsO/26Oox0Qrf ZuzhAAXQ6+1pORVUbBxIgXmffsEhaawXrqToUGuKpS20i1AwjQrqytwoqOsQiPc2BqSg2mUS1UaT jtBvrsuM714mRaTl2ZxB+7i0wkUtGhTEx5auf/zPyNZ9gPzUkUN+QT4cBI27FwY5qpyjVmqMTZ4D 9Z7V2rhcomomUjrDrmkCouBQgg+eOOGDNPJQU7j9qaC4UE3vz9wFIqgWvLUB7Z11qZDvzCL/aaM9 MjkOekF31FX+io1jdt4LfO5gk+x0AY+2TA0NCAzKbo0c0VgI2Q0/Qgd2f0p5YNsd/NGIw3rNd87n n/GjpKNAJt0q2lwtzsGCWckD4zxlpD3+vxZC1ADJXZ3hKuTR9GLh1uRlQV4iDmWUU9nSnOTthscS /aaj+uqtzfGkFNlRVOigxzUIteitj+ghwdbNAjfM5n0Y/KWDWwgg0CBMcFV2mvK9UcPq8nkVWRE/ DII1S88oS5Y5rIDPcMuYQrQakgebrPK4jl3yCKJVwsM0Z7cR6ZgpKRwLxVixGz6BAVpfM2JTnw7X lwv/j4x3aCsMzZbl9dEh5DnUCIOTiYs+hCsRBiC4fuL1LMardp5f4Y6ngpxeNSzjUSYNCwjsE8rq TTon+cJwthv4fzqlXwjXmZ+hs+HDURW7hO7k+hNG9Ihv2MqcsNqhvhaavCGsWCPuOtgVxU9O7pJh KePQJRRGiscdUWWjOpWaleBB14KUqo1Ks8DZUeOByomhbPMl05NMUIWcMUA/AyZc0Y7PQb10tL0o JIkOZMKiFuv2rxM5BgGzsqhT/8DgqMI0wVnkxgJ1uL8fTF5+41Jy605f77sU+R52VizFh9t6MoQx /78ebberGvWUcyS/ITwwCsbJuuGNT4VxPbbLG/19V2JToTLTHZ1EDpmjfrzgosdE6UmPP4A5KOsX YqT0GSoMHfIGCqGfdbQzqztRsPgaaWTguQPylHJ3kxND1Eww/ql2iZPt8fC3IjM7SeADMjeWmF8D BFZ1WlASZXjdn5BRvvx/uCZxj4cosxYHZUZdTEm+asxYzLBPyJfEl4dq6pnYjcw4TZ/8KU9GxrVl OrgFgFRLrOoVap0jrJLIq3uvp7U7bVifRT/gfHZLALTq+0j/nSGI2EGeXQyG9VOmk7kAGZV+5iPl AKeCvVaUxIQMqZDhpBQXtd/4WnXLhmvFQb3WNMjB2VgRc2dYxoQEexjVaZ81e33pl65zehbkt6WX wU6eaW3dafuG6PHSlp3gWzSpo2gkZiwZuxKq4VButrRdCTzA7b/IYKuUPlNZbLiO9xaApu7BXeas QA2ZuemMw5liVeiHNps/zxUCvNjqkzbmlSsqPHposRkA+I/OWpF6Z8q2z1FwK5qJj174g3vfQ3tT 1dHuzsa6YJPJwMeBuIAbhuDYtYatToRt+ZLK0vsVNu2wqSucPyAjQe/AYdRSUG5fWGVvFsNyy84O 35BQz8GipNq9Xh9sQwwtarnnG8ZZJYRXspq+G7nZZPLEMa7J9dpizKoLmmRCj5jpLLki8rg8Ew88 zy90P38O9Wqtyisxn5hcQ1EiYA08YZx9Kmn6PwgFxhtgNHPoRGB/PgscyGYSx1BuknQDmEtwjjQi 4ecocLv5kxf4GrZ6XduEtDKZ5g/FxERZA8ZlSrcTH9XtqJd2dl2Jf/THnvzedHmFhR8Wy/pXesdU Q1YCmMzUhIuBTBDJ6/3D5I+9jTOfMy2YxILoewIY7SlgdtF0MBksni8VHxtWzTlFFi2r4XTnlPee PHwJVT20XUTHZ4yaXFRNR4XDX8jRgoHql/DoFmwVwH+IfdgPOXwqK7RXYXOMxIDbhXypJEr3YU4Q PVKm+hJVd2RITAcHDbeV4Fo226VcHenw44KTlwODFka8rktipsSKewgiD3SjbOBDJG+wzOkqv2e4 3/0tc+wdNQ+pxGPlcf0hy2vo1kTcurpYGtbYQM5dGVdhJsijQv50fAgadWsfyIyEiaOn9oEXn8kJ oUsYssVjeg11ez0BzsaQDeA7vSGE9PpsluBN0p0PRdrCgjfQrhJ2EHByYMbOCMyCLjPitrQ30H0Q Z4ogyK3ReeRAc3eBFKF26TFDwGMIsdRYbmvFHRTa0WYCeGDuUyM4EuoIzznzHqU2zwYttF2rKuiZ i9S1jAVZUD0p098Kq16KLpnsD4H0RlVuh99mGhlQ7D5OBrt+SrUeZ6SfmqDqX+pr3eaL5p+QTTNg bnwqWLNRg6NRY3NhOIRvJziNSnJymKzNkJfrsjX4qssndpAuMt/3znOV9aTO1lRy0duog/2spMIn 59kkDKEi/hUtkTn2QYGKlr2oJan9g9KC2EVdG59WDN+F2dQQW3lxQf8R/0P2pi5YXQYg0Phh+BHK 3VMs0oLp3IJLDWiulMpZZcE3L75Dok6NWE39u0l4hwSSArmC2HktaLowiNnaibJLSCRJrDvFP0tl 35db8IZn96AlKTrP3Z9/AadOCN5Y9Lfh3IvYt3TVHZfwVqxrKeW2n00IP4mxcaRvvL0BlN24WEHQ G1YSltDhP8OnAmgERzss4Ev5ZHgPGi6kzWLdPbsZq6+eqPxyXSXAW2BUXhyL5E8uaa1UCLj+t1FK oFAoiPhCl5uqhnHl5q+9vT/a3uUHgShT47r0gUPG/Rj8FBK1l/cAXZf72VchotZYUa3kq4PMe2nV HRgUbGrIqRqkkMLK4S+4rGA/1q6JAiOEDZhQ5azuOC+3pCGoBRCKHIN/EGTeXMySuX1s4r8mEAat Fxu9J6Ikn03wOsqWZjcNZgvPhoyPYdlLfqO0fOPYkUnm4bNz8cXnB0YwXAJWxI4Zd/TR4FZpUYQy Mbw7IYYA5VLF7LuFU3Lj6I3StzbYmxM1sTrb5vNwP8reTSrKcZuT4RwlmQhZne9LceplPg5xSMpz M//Gmdnv2kW7tG5UsKP7Nr360JIjDkOv3zNPP1Dc4Ye67lI7bPwebIbkNC4G7B6nTUxr5N4b53Dv 2YmOxI/zdhzD6gjAYT85ezLlH+YJ0Z4ss+p9xaO3/Wu87FGXv8Qx7GxknwjZ+hqqui0PO+ClwpRT qy9vk5mUJBFRaOTn3Nk3F2e9yuetEj34PumWR5Dir417RXjVdiNsn/6pe8hMwoFrbOhCmtSnH1RH 5vu21k0ildk0IIceIVaLwBtKyFEM5Di3leRcs0Q4kwX3hFsbXUMA+f+CKCsjNHhVwVPCKll+gZnT gSZwWBjzNb/wehXPde9L+4Tx+2g5Pi+yXQiLB/U3G0zcxpF4y5LRfvYMWx4JBu5wEvZmvUKgSgSW zDxmnsrg8ncKXMuPK/SdvnfEYeFsvCE+aHvAebDbke/y+UGzYhyXHxqvhMnzJblwOhOIrCxAREnk qJ/ykQ1FsOHD4si8LAZWho89o7ELN2iTCeoiuWecZP5bGI75lkaTn31Md1KVQgTUFlM9K3y98MQ7 Rw9aQ3Nub45c9nU9uCofSHyZqh7wFBnZv+51XeKbOx+vH1XTMHTMrWjYOswHO3vR3Gy7NzL5o03X vo5NHERSMmb6a+sUQLUT1AI+hBuzVzfaCjTGK4AIDEEU4oQ3wrx43dXA/YgodXROFnrV2KBr325s vpOHj7iBMuQcb1GGNTOOi4MqFldW5VSAxFfJeMqIHZof7d1efH+OY17mTXVuPJynBPygJb4FWI47 LF5RBeItbDkEqann8RXuNU1sQZAnrIdnSIbZgotY6r4lBkMmzu6K7/nLA8lVVbfcaYsx9wyqRIib o3yPBwBNjQnZ8iNv0wFdEpn/9qLj89QeICsq/eUJH37vF9VvQspE5mowTm7QY66/v0CXppRrHaDC Jm3847X6W8eQ3VR9juGG11j/al7/c48BJhuvJhUzy/ASSG0FnFFFokKDUkwmiBvCnSp1MaG31GHO cz+KVUGsbTA2pXSFfDbJdemvOnfgtWzMXg0kQI9nCFjVjdnxrPPfU95rRs9040YacilEZiNmXflg OfzSAK9NqdaL23+m6LWpOM3MzEabrqv2UU/+dgbRr3VAo9CmeTBz9U+x8E9d5DphCz/gpSx4upIY ea5CEN2y578RNIiqlB9FPNPD9o6JTXy7OVfMuFXATMYzAjfAVF1JIqMf3uARZRZBYFmFrpDa7RDX wrQ2VnBf3fsrNuexSWwuEWpgeBKrVYDuTKIhCVZ2deRWMpHgwdE3ucItsaDKhyFg8C8IEk80Gu8a hmFCEGeo+gbyBJf5NM57Otg5trLfB2Q/UxMOFiN1Fx9NiGwcrpkP0kWvYSnr6dw8TiWHoChNZm/s +xZdZ7FnRZJIl6LwPX5pGjZgi+ZZ0NqV1wC5eM2epYNmvrjLeigp7WQDew0t7K27REvXtvpQ0IhQ p1XOl8YkuRF6HmL41y8+s91Kbky8B6TFFa1HQkVVMTF2teIBFkHApfqUaKtG32ZGOescXLMQnRj5 KqqklKTnys7qY5piRx/+W+7b/7D1jziMdnFKOXUUZzWU9SG0/UC2FkJkiS6980ZRPE7/V9Zx62Uq XdL+v4ZPu2KA/gHTOD4BGahWkMBroqoy2h6ZYmcWlz3iO7iRmc3Bh8E8WXpxExJW/3k+HvPUkc5L OD9J4/d+dhVcFX7SiL1qu+SHXEV9Kjh7QKT1o8flTyrStUtsIB4pcG/qgtn/ax42N+jG9PMmTfYb UbmYm4SgXeUXnTjecxGXZgEXDY6D5upne1ZHdyAiKDhOBUpnnNE9xjh3Zvay6YO1mMtQ3A1zGYVG doWqa04BH74tAd/Y6xKScN7NGOKIkjSwJ5mb8E3sRIAx68FZPGLiQOjLmdiA2DU9hZ37Vigj2bo4 l2+ExiHoM5okaa2HUf4/SpBeWH5XgrQyDeKE8A23OM0e8dEgzXrjM/IHiNJpJsPy0Nd3ok/Et+eS Z7jh5TlByNJ6HxaKncd++7Pjdy5mmzWXXQX3ryIPliXTLDd0znjUfqJ9RiFD3rJIdUs0fBPNrueI yvtjOzhQpYhUv4fncJy1UU2RwNIMcwLYCoJ0YO1GfJmOWsxyAu0XysxK9/nUtO4iD6bYMTW9p4kk o/T9NhYcypupTrihBI9jJUa6URjmW05GSB6f3R69+SX/J5gfN8P0wvAwpFIuzdaQjdahRsXZB2tH nkiNd4vBmXsf676HhcHK8n3NRyWNQkHL01jAOAawrdYlSpNyvS8mVrXBgQoXe0OehaeAQhaQrFqZ SC43da0QUEKkhJBSggxTAhdphhNLXOL39mXW39b3imYSzRKjW3YeM7Y6dTUaiUC7HorhSu860mlZ N3AVb03OwuwMrcQzT9c+s6cqTp4+VIsevZ5M0ni6hTnPGdN+kJD5A8YWDhcSkdqXBT/VtjaK9RqU 4fw3bqcJ1oqa2wzU6caxQ2I8PWUoGjD4WJTNV9lJci88rMhQQF9gNbMUuHAQybCYbPUxRK1zm3jn ZT1zWZoSWTlnQdwzags2NF5dvUkxeoNe8aJl/IXKiNS5t+9lMs6DcCZ9o6dw+agvDnlvDsIBtM4E cvaxR9JSOPILXd/5RB7I6ezHeRbeHaGB2QxVJdixeJviogo/fjwYUMpuTMfWHC9TgS3XYH8RI9mR B7c7SwpwOcdHVaFAuNntsDj+PRtrtRAgWkuIb/8BufFNbbvtBzA4jHRMVYzMdfLMXJJJ6DO7qmTA OK6FCzbuxTrPLbIrqBV/jORdVoubS0Tia1zgis1KpiTuCy9VbTdySjG8z4tjppOO60OWvE7JlDZa 3j7vNxaRAm5XcJHEnEmhYUWZ2Jxy7spdMsECagvYcHvu5jwZExtTCwNZygc9LThp9T/Yk7QySrxY CQdNUt6CO+i8KpmWMuLZlr+E+AiY0Ugt6/fXKg9Tj5d87IfCXTvXKMcFxyThQqNHKgSK9APLmibD GtHxUpSNq/Sz8iYDOLsGnVuTvx1LEc6QkGYJKp6tft9qGAOFH7CYo/9ke50kEYTueyEno3Tg2/RT rXwjpjFP24SuxDyBoMTm3TN8mN8DpdiYcGvRuHCpFsuylvMv5jf2/IXZ5pGDYBX8pMCkHe7o7v43 SF3b1Pr2fPbVl2bJ+cqSkYlguL4j3Co5rdnh4mviZKlZ3UA7FrTyD1LHuwgo+j2cXkzdS9eAOi5o Akjgw+IzcDkN5WOqojOkOKXyCy0hv4wdPUor7jd7WCJFfmWuLdCmwhXuDmFnZWUGbrDe36rHvkwx 5NIvAXoz/kkTUXmOSQrtT0GuPkU0wGU+KpUt4OAIAEeVGtqe59E0wqhI5+YYnauYANZdbooao3Pr XYKVguiIpuOp1byGwKIA2epz4lq9VcAPqN51PlKIAw/bhbttbnXJYb/dnrnbtqRix/rSAbdIPT8E pXE4KsAuDn92lCIA9nK9ZhCrt+qcKW1G94xyyOfl3mi19BBAeRyt4EtjGhAq189EP3Ykb2Lb6evt whc9xam+oL14teekn7x+euoPCKNWnC/17hNBaz90rCZKm8PCylyzqVdvqx8pnpRYp7lS9nv2vX/h ESgSVSAwGgWnrNhQ6QVl6LmSthW2Ccy37H/x0skIjynuF2jUhAo0yD+F5C726heyhLTpxYwi+m/9 PV9StCXcGrDxlUONrCwgzNZuYW+cdUENAc9bhC5Gj4tunuuSEEYFLfjMQJeikDyCF0jsQ7AaX7sn kysg7vDfbtmDN/VH7MHTFhS4fcYdjwchzk7LE/juSxptu0fPhyZK7Z4z64IjHGVBDPBYygenNM6a RUnX+emkdfonmay7ZlgJkqX+cIdg6NNWDV0bPKoOtan9P02QmtCR0V5rP/92HH6Tg4T0ASLzKtMZ dSw2ZDMsWHAbwqfMCnxp/KMqBarqdVPfnah9W9/RKliICuFnQUn9k55b50YmuRwYJmdG2qGAzhzR p/jdS3s9/k/9YbrAwB5OSfY4ASZG0Zwlv0If8zFwi0jSZ2/OvKrRAYatg04frmhCCIe6ZjuYyNOY h2GCofNoLEZxq+qUctRe97TX3YHr+dWVg6mDFd9+lHB85Cx0DElPmoWFDLNJLzAOL2M8327GRFS9 kvoktyEJb0QTmsU7O77tFKC4+T+/LHel8J7nzBQQWHwt+b+iPYJv5Ac2nquqkCWn56Czn9JcLd+Z fVb+q5c7Mhd4uRK5Ok4yMujzyKHWIAO0DDmmhOj2TUsP/WRqfugZiS2+4l/TwZjXKokp62Fw8UPr z9LaJX40ZKHhqIOl7OOlS7jdYAfQ5zCZvm8os98rth7aJuc+qI0fs4DeVwfUnXL5njnNg3svJZqF rTQEJ1O9k+CBzFEgmHI4XGYiFEp2zACDRStgdybcchzOT7ZHWyBipG8O3utP8kh938KGLCNCxT50 iMKoFZS76SaMnea2gRpNFStzpTgcGUOAirzdLEfxbuyViVGcFmKAUuKpI9EpCkLvcu6eLvmLqD9p FvpUAdPjbOQMtG14EgJm8GwIMFotglYwYIAe+fF7zVCT/avFE84TKdEcpPjAwk/raO7j5uumz8aM bQ4qviPOGcE3CJiF63t14JcvWY0QYMSLLTuKmXmzVQbRgHypil5qw4LPoWdFz8nUmRCFdAGVMAI3 ybrw7WM5w9SS/RJAthRxrOX57k4xfPMequatxcj1UdQCyD8Zry7ihMGMo/6YKbqG5isbRRaOljvY WlMxs3ZgRNN02r3mtuAdBDz6hwBchr2U03hMBH+Ocq7a4yWRLW+Jm5750pRchI1bLzb9QOmb1WvC XuX2WIICKnpYg8SieKt0xYnkrHhNOMPgZWaVFbuRRf5/Xyk5HrZ+614Pu0TWGfnLukNy92/9jqNI /0oA6LVLzMNLKCIft4v1dsHBaRTiSYeJOWp5uNilg9DrbwUcmcS3DYq4CI8ExGpZKhdJ3WrT25yJ ytAJ8QYKTXsDlx788N0tIUYN1iygqJl7RPsR6JozpKS2K19ugjMZzFgxo2kbiy1GdNuXZoRdE7np R5uXlBiMBuIvw2r3ZEze8+zPWiayxaJ0TT0YUlL39KeYejN/s7FJ7+HFecglRP2ED9LBZXUNTxxd /QjjU0ThAFsQC4HMig5QAk+U9hvil03i/B7BevfPgeozMkSyTv+KO6CqPkYMo8kwpd8ayS+WZEVm nnJn58j+xCC6fmTABIZHRtsXP3e1wEBmdhFxhpwouihYi2AcZ2kXUV9vSWqmCkfKiq0vVmk0OZOG YFD0XdU06B2vKslJCGklg5p7Okzk8I+SX40rl+GUn8gaoYe3f+WhWKyYo5/YRa6IHNrExGXCdUTn RJcm/iu1QystpYP0qIsD7i16LBdlgAYzfG0h/kVpdSV7+lcasKcBR51ORbkbKMyjy6TPeaULb/Ne 9q1tQPW7zI8312AuqwbcNkSD5OJTFZB4R8biPgX7p0npmaKbsnIQ5EPsroY7xv7LHq1BWLzbG3VV 8CItbgp75ICWDqPC38RoYRhThooRjwIKdZ7GAoNRnoNjrYgGvTivDb/jjv233bS8dcOK20IxhtIJ PlQmSlRPDCK2AILEKX3WzG7kCKzTvAjivigq42PDKIrijALllIY4rhyoiHO8M45uwoLkuezIaedY r024qP5yYx+LkZVGeOsw8cj7+DVynjTobV1tCXswVPRzwsD50hxtMy8Z3njvzOumLz54+d1rL/tG 9XPROZfKjUKf38ZyhfUAACIVy+7FLY4V8EJ/EK7HQAW7LaMfrOTAvN7f3s5m7vtRMd6NpO3NPUV2 jDLvtNKjbPhu/MatTw5wLT3qpVF9QGHWHXAsxDsD9cZdgaH4qD902I3aRAlVfz47ugj9EfqGY6ln X2oqCzfhCRC7AfGjKlOZhOxJ36HXfDf8pfnz5ir1U7XKf3nmcFyqnxpqgPXxYwSvtNI2sUtd4IGf DjpqtCQxNe7OmJQzAm01OAmba+MA9VFTcznrCzISj00zpemSGAoXizJnbqo58EmwC5ltrgUDnEmr lz6ASOO0uXrpLHBRJbRk969C272gcw35TbIli61l/D7eb+hMJI21lc7xrS3J1l0QSzaITHCo6kHh bYHoZ3F/HAaBAnseGHVhqM4v/fy1M+7yH9w63F0ZicmBmLgdqORDUQMODJUzhsZB25uS7n5sFYKU ec4pju9pnuLxkzuc8HPsOSctrfP2VcZlGUhB8Lw6ggm+gNZUWJG9ov0h0eiDxcIoHHJ9BiwZSvpl DJkKEHk2KXFMi5IHYKaWK88aBoJCQhYaa6Gy4CwsCC8IK+DhrMfh7KDDG3WELTdSNtZRzpSMiF1c 8Lrx+Lzi3+pzS7d23iJv2eoyj3noMp//QObRr2j+5E+jdYGYWkjYpvjfJ7aK+TCmbA6IRREISxfl tdzPHjRLpDXppvzO9Pq9rUAiguOY5oahvOd1MmnarPA8RtynfSGvPbRtOx0FEMi/o7QZVbewiEef aQwOoIr45MzhevrJMvmQYYs1h1xGXSt+zlywFrovFoEW0CbC3zCdXzfh6LDCa6xhPuzwoOFsiUoz 6MXZ8WsvHUARKefGAh4NjvLEIk0zMdgk3xFdVnsGAKs8gQCe8DJzRfm8ivl5iv5j6D6WI9m1Dt6j AXjuOZFaw0fYSsuqfi6aG7PopQhjK3xygSlmt7Ruf1zxvHz45krOC+IXyCu2Jy7fvBbQ5MCIa69L 13fGhs0eqajURT+0P3XdMEHsVn2c80CbPmgA6rQLeBJvaHU0PW0jpxVOr4o1FGJ6zUdcSlpobK3X W01nZH0sqTiMul9FBsQ9Z3p+Yd56aW4fdj8ap7D+k3HDHyXifuNcqDGUlDeG17iEjnL6XYdpX8TF /m9gbSxYuyPDmBcpwjWZHx5u2/1MtkuI5qOD+A3O8IBOj7j6xpH9d3S08eNQXMedbvz2KlvMjQyM u36Sa1u4+6205Juti0p77eeqxyAv6AafUuuxrhuSeuoiPWw5d1I8+Jq1IsSpJqooIuz28Fps4Jhq Jhsp+5CvRILDBT0yXyh1VGCPDo23tTxrzwEZZNWySN6O6VOa9UVWOQnym/J9U6/Mzq0sowCfoCPK YhPjJk2giAdW5R/BqgdbXiXVwZmuQf88RffTjAlTL5Mnjkf59Yd1lHS+Fw0jIla7IyKy130zk+1S bl6z16gWPBgsfkIB9PUtEskMvrbXoXDyhrZsCR2+bUwTV8Usp9wQM4ozcCZXqiYxsTTh2HVV6tbg 4AsogrTU1nx5YUmHHnBB9/KNfl6ZpQT0BbEHks+gH1tll8zMI8m2wKp3enNNMJSygLVNu+OUrrFm dqHaxtRLFplCrtAKTB4T4wjwjL+ubyacQKs7BFrnVo/zIQOvBUXWOJD8nqPsyob6KawGLhReOWCx XDux+lIZVl8b6NbrpolK/9jjtz9j4vVvQVz6FHY5Eenyu2SQHd1zN70lj6AXk94HzlHiRAiHx6r1 /2nDbC/WIkGzIU1SgvEWgJsJvtHrGQ1Tn9doHFjViq3sbnLvoYBGX1S0bsH43Do/lcjmdnLl4Ldm SnOdherNimJB31JzegurpHIWAH/bbf7RHfL/mK+AwPlEPcVSOU1aWYgSCKmH+H5ns1vzfbMa+iL1 gZ2cUxslXHqdjP6yW5bxO62BZr3RynGu/IcJAWAvv/aNxBXswN3wWk7Fyi7MiDN+I/uEDs4gcUWW qRe4xqMKIUYD/aw0o/c759drZjEtt+WJ3hZ5jKNsvr+r00RXciaMUxPD7SxlfPl5RKl1t3x8yG7L 3TH9m9Ed7rRyHKAJzYh4SuP+qNVdKp2bnJnfjv2h0vM6aBbMIsFqnGRUaoXFYHOSz1lWFb3IgP3+ YOh+3QBmYjdcdxwJlQsBgVYXPFvmWMyd6IVO1YnKzk7BfA8dsQxnJ7g1aPfOgFTubxsi9Famg5Yt o9BfXcYXp20fkA3RE+ERXYlTTGLqr7/kpJpacPt8WLbESqDrUlFVZU6k6JQhFbqxt5TlHCOq2fvm JIcJROq3s/OMxaHj+PT0EIiRpVKWiv2oI36JKNSmqkZE5eMHBRdG5vSEodg6zy6op4/Jpqh02p3u 7KWpNjO9u1MhI19UjAbxweuxQddN9A1SoxlzVggnxp1lsJ9fpFX4PsoVNR+J2vhD2X7No/zPyzu2 kMlx0cf4HEWQoW6UsCUti65nFORlENVC+VfSwpzHpHF8B+tF9ex+36/e3Ll7hm0mwFv3jA5+vU2D 1ITMQtbiOn8SHl/QnT23O/IJNY3Lb5tveUU7U6wZ2Lqj+pmZz+DsQPJJsmfR/vCO78OaOvzZbvOt h5Yars46S0zzCiYJ0FFuSgg7Kr1Ll5PSQ1f/yhcKmviw6wpM0grNyXRAIRDdg4fIbB/kqsI+ltkH a1FfNcs/mLRP4OK8U/e4QzOblwNf11i6m1Mtupk1vSk3xg5D9NmtvnzrFlUa6UXIy+80JvZGgzjG ElAY8YA9/t7C0QMTBdbdcVrl+90HqG8vLHb0lFPNxTqtcTJARF4qn+Xmhe8zi/A9YkJ6U68VQN+a rzcKGI1y0QPkhTUm+bN/G56JWInZvw2NREjpi8CQfP5FIH3GGVgcCbzq7r6aRiCMLVURxkqQATpn DKF+2+jB46Y78qFcI+XyoE5Qe1wxmtHBiy+yDL4KUZJOifHJ+ztOOqidyxaFpdsTU4ez3zxBusMG 4kwGj5G/yrvHLhmUE7cZrIK9gSfsiA3m5wjvdJ6CDmPwPKhYdAAgvUm/e+3UY86B7ScfI0FuUThC mo3FyAldcZ7nZkvagzf41LMMDkR3yuem4rZyfZPXPcxg+fYdQKvbzexmRqMMEcGy3jD6eJWLqt8u xzFlQSv9xMuBIN+PTSac65X6g650ctgr8oebMpqMqntqf7XPS6lem4Vv6eb/QXpCBJJrdJKcc/hf ka+WmCU3CEH2WX+CgUak7eodLIPwkWcILXlpLUOlKEZztYaL07Fvb35YPG2IkWb9wyXJaYwdJxvu yanYt4Qv1WUnC4paxFEIRYxSJTxj8PJqoPrDfj5JA41VqgZ8JE5DtMBQ1F3B72dRV2FdYih4LT8+ UZPUifwUCfFdgLqQSMpkU2Xkqr2t/tOSf1ABg/tzeHQy3fM+zaFxlaeeyASPBJDTrS0Oana0obcK uZz7kxBudNSmty+2L7ICvFPvE2MUYDWqgrttVR7nwUymS8vsmBbt35mjKS4gnULe7hcKjBH9oSLs 9lET1pai6O0aXl4p1l7ZzeS5OZQIBfPM2Q9ctyTNJgm89mQyWFHM7QgITSQkKDFy2gybgC6a2d45 AP5Ry9ZTe2e8lNr2J0/9QC6thjFttnKIRhuMTgSfynQPvBOBYlkGzGhqRrz7wxG4yTW5Ojedg6vI BWI0YCzGBzoKOfCiq3BjjiM/iCe3oy4lMXI/S10R++Rj7rNkh8m4sj/1RjfzgWKa3zjllcxYH07v NE/+j3OCZBiqxcTgC0iLPyvTd7r4R/1oCLjcizlQl4AM3f/7jmpntSI8jBuoICR0RgsVzq3HObnZ Axa94Q2ZyjFyQv4jmGmkNd/uKTkhQCKUYjqTapvI+9YLhb7Vu2M3Nk6ZlxKGOi/mfRH95HtEUtS1 P3ez64L7b7WRRn2rQOo0UyddUgg/gU+oeZ4bMiOwtfhApSwxJtApL0A1OQ1LdmSqr2n1Wek9Hwrg z6j+2GIuSgBHkBx3KCLZrxnq9/JXwZs9rRB9IPqM4YyOeVNE4DLVh+NiEKdQ6VsDKOwljmAsFIzb res7NTIcDT7kkci0m33e4bZCB2Nkis5aiA30e8P0XhmY5sMAbPgNq4MQ/NjZ9iDtR/dgW+x+XwGE fmw/4mcoTmVTjYWfL9g6n0dJVUjVZfgfxmNmEQtN1gz46RXz7xDnKJydvbz+GaJxoArKk4UU7+ma 98Be8BiGDD3tomJ7HqBanuMCH0DP6gcy2J0g8QUnRrQp2GUAE7IiOkIdA/4oNcgZcZ2veJCn8Lnf nBiXVHdGliwQq7beEdhqY5v0a529fvPXvdcc25536hFu/CjQn1Ydv3Tvoy6mkzDhBlwkf0YdTb7t ZLob6iPN1YLJW5vbggwM8SgkLoUZIglTnkpjRQ0p9rpIoQKkcglS4NpDsw2W1Y61Mhns5mSlcyAL +3x93lI0nEzWa/jqT+KSZHPNvICTYbIUlugDDQCJWMd7iPYfV90VDkJmWuixNYBED7gRYoPj2u9Y HNilHFl+1dQ8kBu77/jEV8c9uJ7Oq64+H2X39ak0P8h6oQmKDLx5B2TWAClS8akyJjFB23AInU+B nMzYaWpSi5vwDfF4mCLsQRlFUFs1Bv33mAVYMQaG2O+y5SVeBsrgYsj/xLcg3x+vO+tV9JmfnLRB w6tDG8l+gn6e3CHaavEw3XSSjDTpRyPF0PjrpYz4t5rtgdcbzE5L4R91laJidnMmbSjYbsopoawX uc5Di5BHtlqDtgkYZAKx4KxdGnD92FckdlK6sizeMcHMGCuRSwZXDrYIBPqMZeb07+30FILT8mCS jC7gg/RMgYU7h8Ufhe3GGI0QHnaF/gxts/6eqAy9svF+H+wGP7RjX4w7gBdoa26MQtiMLoI1IvFM WuJvYs0PMuUrDl4ep5wF0vd/pZAXG8ZvbwqoZfS3nnK61ui2MK7WVRjdr/gm5iMdqbMmPabf0N7z CZsIP17E1J4kcs64fzwQxwgU9f9rv9AOR+wJOLssV5TNlVGwCWUS017A301sq/dDdmqEhL66s5k5 3JBPYwo1P6aHgXJ9/68ikYJZ+wWQAK5PQNp7k8zCeXNLEBY72b0+P7dnRro+GPVSFqjmpki1bNtt yaEqgjSStWedjWsMqHsEGXGXEUpFz+Ki3NJMwmx74Pkz3dKRxCFz7CFpmSFXbxd/Xmkbf2rtj6ab MREgrqsYI75xBhbGeRF54Pgf0MRuft3r2ydshlD/X2IkzaUKqgwbQ/DeA1ggVPNrP/+3BwrUjRak qRlfvBirht1gaL9kEXdJS9BWuGLUWNVNkT9MPff+BD0aoWYRVeHOYKNMVfuKQN1lDo+aqfdwEVrp uNxYzLu1POdLR46ESSWS+1JVOD3knRIF90/d6m3DAgh28kS7YXDPYR5QwvLg0O5Uwf0MFTXXKUP8 isIG/cWk1UA0vKVRfC7Qaax1AtOvVqndyyPpFjh8SMXu62eMZFiOyhz70lei/0aCr7mX/1SaJf2O hRBKg5JZtrcAw5G83C0FFVSB2AsAPixcJgctjzreetYVNxuzGwzMnE41qFeGUt5sFouTYg1tg7CG A/IFOP92Q0wRXcgDq4hz2M2lhKgFBGLEfgZd4oh36/DZ3n0LXN4PnpnDWJTjZHHThJnNDaIV0VoJ VidEJ6Pg+NA9a5dFMVfxQI8XGlykl7M6rE27rLj8X27kSZHSls8mGWTs24EVvWchegt6cXXlHtlr 0j4amxUqPzXiSBYNhRSqxfoWAcuBb8G1dn3Kn1Y6bMZR5+zrL2Rz+qnffC2tjwfFMpkPyszhIH5T gp0a4nfpBINJR6yw7Y6Kl8EiwTtP2M4fXKJGrKloH7w0+EzZicKrxskuvZg4/Hb9iYXAdnOtH86s E25UK7Qn0CwbeoCL675MpiZJKu1VKnmyn56E56xpt9VvQkR3XqhhHImuxmvhG0dRMHVl+N/jyYCv IcrJoffU6XQD9lu6FLycnBRB4fMJ8yUtZFo/3YRVnprl885jVf2JAt1H2jqWeUS88SpRR8VEPo9f OOzJ/uFNt9tZYUxKxME1vKpxOhoBBmR6TxTB6jz2Or7AE5TIkPhWGORHjbpNoHgVwCSiBiEjciu3 ywT1SJ0jl64Aaft583hWBF0dNC5GEpj0TpWH4Y8m+KmTIV6y4Adk2H+R2NFxX94y5z3oPZiF4aa5 Sc/4q8oVHfNZdYA4QrFT5dkPqh3WadBL+uPx9N6D+cn0L2ojoAVwL+w61g/3seAvd8y8YVYL/pUr Qr+MMBX4ea7IIwkyQLyK1AAwn+t8hnVUVO0gHrgAsiUwsNTlXEXLMGR8YYTbLW1BU35OBeDlFa6y 48MnIfkmyWZVLSfrtjIMmSXdWx8ZMEeKcBH+dsYr6dWPZjCvB0QuQar6BI924Hm89NEgxIvVSHr+ O5ohdLOU16QsYlkG+R7a/iD8lgb4PTmNDLKUQ5XZfz/FWG1tPmpFgALI06PFSIUF9FvqoTaZ8or4 GxsgaxLXIj9XbwLIFtvXREosg8hnXpaRmIYB1gtnHM4cxf0Vc0br6eN35d5eaGulQDhtOMQiNF38 cokLjMmapkeMNB+6lKsrFbZHctUr9fjpXt8PL9IyB/2S+4BxV1lLcfx49D9UgPYmg5n4QlZNM6ta zPqIh1RVsP3aeqeSURl47DKt2nwqenbzW7Z844vhar5+gRyoMSniJZPtBAZMmiCM0QPTs3QhjIH+ eWZa6jwFGy+dZbh5OT306yIKzBIlHrQUw0yJo7yerEuEKp+s7ILzadEkCjFEUfw3iD75RHKPeHVL PnsrDwQAxx/314n3KPwz/lK8/nwZzwWyKy5JQE869lzBFd1vvlalyfOgvy4hilqprclKYjijoDbw 99ZDi/YWU3TMl3B4c7/k9WCe/86h2GET0pZ83bTE5Z4tW8o43ueRfKDbAnjxCHJzWh67ETwNpycW c0PWP7Jt6gyT2RFp/OkT9gFxdIm6yQhVWazQhO3AORsykaV1r1nE2+WL5jqlmz/RAG58W0drA3TE CzLzxoLhO+bvsJbLt8YNCKS4IuAN8PKxmyZvOA6fTxQ6BYa7jDy9VmeSGI3IBwTptea6LtXT5zi7 B/CusUwvDB0f+5etJydOIWS5aVj+BFd3jbQ016OZ+ut9tnOWgiv+Q/XI4sQf4AZ2TDkrvoqhrkmY rLPEl3WmFQl6gsmRqlqcUPrM+1Z6mSDAZynVjPqulf+IuxV1qVzuD4C1clZii12zb4VpyjYiMaqt 55b+1adPDCDzuZKUSy3iD/IYJ2k7kadkZ6X0KHr5nt63aOIy6LzBzfUe7H3MJ2TSbZucrIN/KETT HUpwEVLqPZuAgO0kZV799BWfWlBqHz/r7NIfxHBeY6/Su9IVO8ujETWxNULDI0dbTEQaGyxyZi14 sd/4KoPGihldg7e9atBSKrjTcDKVDuxnEkDFpEKiJvqeMEgN/Ns2PnbXu9Kit6PT6B993XNtxpMk UsO57cIwZUiKX9PyJ0kx+Mwfa1wutKt2B/nDb2gyYUwfgYVGxoT+ScQIk7/I1tHwcjZp0M1z5Kkl t4we248VF14cJzDXKvFBIhJ9F+hGmpi0t7vc+aLddyOgaI8GKyhy+esjzhXnPcxxQgSPUTtUDyeC u4JwS5Y3H5ESZRLA6USxtSJYPSPeFMPe/rpEjKJcZyRIiwgJnZZNFPpL7NKgGXUpvd+wDmB+15Ay ag03zWWDBfDhsWSCvqQCRyY9Oe/TCtcDSB9Pd9HPPriKZpMHTFGhSQNb4KDABShumZ75bcLzehKq k59s7M5VG+ConsTM2aqLNbzpwcspz1o16Q5XfLKdKyxUbHG+bcbh5FHV5OZvH+/zfQkNOdMYxI5b SPx9GykkQCVIH0uGCftAnjHdbH94pZ7g1nH2kR1VxYQTdUAYiD1FTHRhuZEzHefse2eSYO+cNfP/ RdPb9KZZh3Gd6D/6L+DNs5chrZXh5bcJ9cALJ7tdxDbVYgQzjvIOnhH8wROlpBfJRr379foK7H0q qshTP2G9zOCd8aD/EiGUjAiDHf3ABgHnLsZ52dY8Rz37luqo6oiIHDZICjm1wNyKKCqL+q77R1Ku qe4HAA7NgOXhIrS3m2WTb4vNILIt+QLN3xDepToNB9tC70BewYVAHpkAS1cP7yuEOQTQlswNlPXd 84RraJiVnYnwv41VTNjqV7PSfZ0D2CaidboJQACyLcAEwaHsqi6LJ+DSBGJfqMB9B7ktNkf87ho0 bIsLOXqXzqFbSKs98Y2223BvIBeGxAwDWYdmuxldN4EU/gws3IVFuFN7Hs9J2DEvLTFbUJwb+V6x 1/MtMn98mMqhMCQ9upkeX/m+afUOtBpKxYipWaa/1L6xkWwqog0T6G/vRb16Pm7dJBHSZ6VjAL7V Cxik3lcNewJ2aJ6HOpHs4XIIhuGGoPBzxQMJw3BGaL+X509EAHvI9ChgO1lX/Fcl5u5bz2cN1f74 yiyEdvdhkNxjZ1U3x1l0DVAGPv89CaQzg7GQ9yGpVBTplz/ce+8WkD5cOPZjiN8GpmlwbWUte1hk Cgoc4LP5XjPZyK2DeuFUJgH/8ArZPx1rMT13sQIbC7Fy6/rBChHYiFan2LNDD8FNOPodqpVbqQvj fV1Tl+qyDayyWk6PQESFmgKXfOHfISKH66L8vhA/zdzzFXg+9OaCVQ2dbG6/alTxUiIzPB4St/aH +jheQcgCjqdtIIDXRILxXiqAT1aBqAfXRxXpO7Fc5xs4171emKPWkOE+KjChB1//DeUEVi4t69ch xroaupIKLbIPPE/PZsFoK/HVQO7FUX4Pt65/ygplRcu0ezMWCWc1AgbbGcKaK+VropXlpMTYM3Kh EreiehDFTCvPCCWU0ZlEyhf7JyMTLNKVMAVzVp1E7/4Sn+wDEtqQvUpL7bW7Uq0/By5NjPcEkeuk dydw0bK9uKg+LVbkv2F6b+OG6ikoNx1Uo78YDkyse7uMEYv/gW//B6xmSbOAE/6qGMA6BBwp300c v7hT90hZ5L9xwDfPIlsrz2aLrKTbWoeW0gXryDceBb8lmgtPuWvzxlYgb2VYeNB2KPrbtR2eebKj wBRuhch8qk1L1qJwP8MGFAMrMLljknWmJ7Ydcs5LEQr/mBacxOFckxlgDljEtOuNjrbSsfQb4+LI o1F7nbp9y4cgvkk3Opsbke52iB6DZMmdanF5IkTv9JAB5/OM/eqL4JK7Hr64Q+H79xrRPHEXhd/y 0fdIEdHjYRUI8PB5BTSkTaNWzbrphVW4ai3IqIPcLTIa1SW1/VYpYcdLaExcig8Dk85JeRNjs4GW 20946n+fL2lN7G15o5m7pma87MKiAP77XiFvRa76Rr92655mKKteYedLBhpjXoppoeaE+XGMtvYa dA+L/AAwhv3fBYPB+UBUrggh441YWjwEDUiLAH5+atKrsn2kUPXvaIxxVOonL4PiE6S3DpWGObxl sI/LpBV2/sMw/4WveijBWIrbI+3YjpIm9o81qwbAhKLRZf9PUEGaoLtjhDUT4HYtdHCL+G+e1qhi QRuWAQlXhbuBgDeswrStLAPKhayv3Ghh3qXr8Mt76pnl7U8Q+1qUZPYG/xuULHSbkCNsIk3uAOcG ROtFXPfpOUJq2OZTYHsZkXlIyZZKhitFXVLpkryMEwuUtCw3K4JnxLTcevsFdePEepUFdkZX/TqB FtUeu8UiyFMY2x86yp2UNgY9qDnaACHwPWEUQsBCWA32QJK+zOVSQSkJaX/gw8BztYz1a/rxzete S/XUK5JMKAYTOc2bU4cXHfI6RyCCUeo3vth2zM4mNUE1E6ti86RgtR+J4QDMG9APNKqJJ4cQcS2I xXoz1WYx/dyOFsNRONj+XpsbACXZCow8rEyVD1vIgXWer1gqoTpUckr0PgeXwoIqBbRwtf1RA+/d G/Ml+7LBca59IO2dMa/GyFWoY38DviCyw7+5iH4UwMHkmkDU+WSGaU28XBThPIe+l51vzzGbcN+g BHXIs1GzeOmaU4/WFfN4BCRBlxrpjYNr63Ihug+THl4oDJhc9JHL16xxnoNuapke9SROZDZVPV4o dH9L2jJ9CvB7X0eZ9/mgbzKEzNGr8eO/LIl3QSPfORq6YunPfrwhDZBMzvGr4+YSYr5rer5jSodv pO+8B0qYfYgyUaCjF8Jw74HmhCYFCUyuS7iR6k/qd3DvD9hddv8XQD+BwoAlNEz9d7zIcybPEQ5f Q0t8Lj3p2qyDG9KoRwpo2ruwMIrnjJhe8Yrl753xtC73cVhUiNYf3h6BV6ahTfBY1EBnRNNxfLyf 4hlPS8UPq3bIafTOtsAu2gDtocIEJoeOvNl4kcFnCArRrhrd5Ig/hPdkCvijrQffvOTsYeBSRFvP PWmgbc3iTvUQnliFxW7ooJMWsSFz3TSxMB42qOewYOjduX3osT70MftWw/epZaUpFPnn1MaGI25c pV18BUSdwuvGSqqRVxzw2/cbtxMBav6h5HjmvYoU4hhr9iBLNQKEmjVCbx0olhBrTObiNWMiT88n nJ0f61DWT9jbvm1/rAA3JlCrRAj9YG8KIBZE7fqG0bPQ4Ac6MDDnqz4UjSyC6AVaZq5o1k/kMNLJ HN1yhoFj9jrWBcCjdKYvWugugktLcQE2f5/BdrDaMDg3am7c/aG6gg1xa/3cLrQvcw0rZDJf0MSG p6w79E3PC/Nxg2hoTGaEnJ7ud7ehNVNwf8kqmgOosUyms/21X6AVAVN7kz4Sx72E6WJFoO9CNxKK UxEGh+XrgIhwMKWH4mlUgDys21mGwri4w4gmdDGGONe9ZxlmkvGv252i0xhAePtIxazWtDS1MzZq iYtLtPWeNwwY81u5wxGj7ZtkklvCgUzu0v01PgIxTkj0WvFINK4ar8HCtuzXpHAiYSOo0Qxn6HLw 3XG8ai6/JcTz+mhJz6UAyd1Vz5BUlfTDCHBOtOGyCLIoTynrCf6zhXTyzP6G+nA9AXQtpWOtzOCo jOrWdZkyHvxQIbEcsxcQK5uk/cT7kpzkq3QfWvT1NWdsJ2oxJADuLu+/UMDg4if/IRKyW7TmrBtq ATETiJ8lmxTT+w8dPJcPA4khTfmGmfKCoAoA1AeKi3GKEsUY0g9fqzFg7M+lSnbkekR15PisB5HB 2qRF2xOCTKeM4zKjTOCFuDYtnjhMF/K8uhjxIretw3WOc+qnVbBKd1IOhYqZYNytjFTYmOCJmJtL VyEp0r47GiBlNs4gVbphFqop/LshRGYx0A1mVMtaQJ6+WXjau3iGreMi1MIaTFKgha58Ro4QCENX 10WvCnBbNf2tmsb1iAKFUMMoC1P1iVeBqOpwssPeyWbk8FlApaVSILp/VbZ3RIZVDFxet2K8y+Cu a7aBFfamf7Yk6vUmo++xzn2ZK+klawTUN+kVOZhivDLu6/5Oqs5VvPjHXApFlNVLBDBS01FfUnb5 XjAGHmIeDoIpPRpRa+cpTXIP7+lF0lAll83m66oVbM3/j5O1OrvGwQ1/yaQXVmj+Mn6BFImr2aSx GLsTqDTDrLzq5bhNp45mh7P9iVD71IhGpRPXQpTou4wZUTm4tc0+fadSYdy8faNQVB4ItHpaGGQ4 gkRzRg088lknOtzsi4NMh6gHa4enKfTrjYR5yHlz7FbNSDLYilYKMq2gkUKHevnvJomUNsZFwt8B CkGSlujIi/iZD+qw2JgZf+30y1Gs8Xp0Fz89t5HcqJqKJM5+LZyUwsNR6tg45+W4DslDaB1jYOMD EYYx8tJbn7jhk+ZOIBZSexDpfI4KiBZRKD8MDpTU4PdD3iVJPJfs1bYJd9MP3a8/osqe84zGJczX XvcZcM2DtMnm8BEFR2PRtla6K2xGQhV/D2VtJgXs7nxN28HMcgngpW+BsSsjkPxg9SdHIQv3l9o8 uyQBNFL3J/KpnyqSa5yyKnbGM/fdDBura1VANeAmo5KJTCLp+KiRIlATp031Oo8vtPUk5MSIyrXS uXzzQ2oSFC9tgNu5rck+HmbLNUoaNaEBBotoWdTvlSXy+TsOq9P+IRLvfSblWzFGEzYzlgzTd6SU GYQ0gaVMBmtiDC6AOI5eCHpkHGiO+LgUmwnERjE3Xl3IJdUEW95dqN1QixKhFpiJJGA80TkAqYfR zcBXBUukGzQAmhIGr/2Li9vNoTPJTyICYxUIOkb/UiviqbABdKy+Ecexk9FifcGNLsAd+Y7jpWvg 2VYUllL88seuwt8csK3qEc8/rLMWcV8AqtRgIFPEDqEORy0yOXmE+tCbDtH6IhyOhalNk+MQCvy3 5ABxtD8lrBvqu3PuMw7Y0X/ErCpDvbtmvoT9tcSDw+TvAC+NEiE8yCqJFlXO/sZdMrn+D3GMjqcy fxPwrfTJRlZUxbLu6q+OmPxQaMeJTzp+NawpIgvHGptEAIfKjSwQQoWDNuYMMw3HMZhxpVO9eBun 3AkTrkk5YUVjk0ep3bzqjsQ9PQyWodwb7ZGW73NYlT7qu73Ze8Rr0jODWrh08M9XE4mabVefNTyp 8I8j/zbf4f0CDUbMFqOdzQGXoKRvD/5FuMqyZ8O+diZZN60TPh+UhWCLrbWtDjSiOG7PbEr3ip9J v1jMSFnufHnOCuYYG709tWvwx4nbfXp9a9gvSVuFfuvgXD7KJVjoq5cq6nGVfOmeUazGra/2Hqjx KsoIfkOHpfMmQuZkw22la5fiI7o/7xSPqHk1hOfGPPS1qCGung6f26Hc9M6wn/L1el0ifsFPeJ+U o+UAA4LXTOX6eYOW9vyfWXl1on4QezsKCui36badrDJual3ao5SOj6G5kH1X1VgrUfUePRQCYjDs KOBWqIIb2uIIzaViBOE8LwtTD4A6+nG4wFIDzwHAiPkALJkykT5kNRuH4q7VKuHVLsom0J2nmjRL 5QOpUJOQxPI8y+NgV2Q/nLGdV2RTnZeGQFAvRyCkLzgM3vbMoQOjboEMLHmKZSjPu5JwklR/+yj4 KPqvZO8WfcJD6e5opJW3/pnMbhIlrYdI7KnU7na2kGx8tjha7g9olfHlb4XxtLm3kuV0j/Q+vXjY 7rQEgV+VfB/+jfVlXb2Eo36del2TXN9hC1juElWMIQKNIN7RK+/iWfDhnymlV37HA5aQ9t6KunH/ GzIB4URhfNKJVbq7y6WFtdbS3gEwIsO5Spv+wZNw4R5iOMkV600Kns+TakU/3ZxPKRy1gcMcs41I JFGdHzEyA6XYLLxa8fSyi5ys/oBo0KcatHDzVy40Vpv6OeI7Wl4UbdB+6PskhMGSIBtQtxK5gglG TuwXww7s72Mz+/hJ7iVfRAFXZi+TcRR2a/K+j2jYXBB8BtS8sue0610lk/2Lu248o4m9eampFU1n otWl0D2Jzb7pNRxdEQRJSyjm/ottjEv46J8FgcFtwdlJPAb5LMAiZE2skNhdMctL3c4sWipWrYeO N2k41xyy9pXz4L8AHlcp4wpvo/61/pskvcd5VvE0nbt6hAPOhwAeA1DR5e6Xkpj7CzZEDai5rijJ B16WHbaq04aAIIjsEQCfWmO+MQNRK4d/bgBxr9RBLqNDylF+aTKFYFKkv9MTaCJlftQo8hcco5hR OJvk6jFsa4Svxond9UFGMQtverxnTB6V+3wKJRYDhIS7fnSQ9BzgcYWUTKj6IXDgoAQM8OmzvBTV v/hLzcSPZjh2qSPoyS1k+MXrH513j1qUnkqEEwBDWLbBugKPV6uEO07p7U3xXfYjUbW17eIumVBo UE6HkfQ4B1E5oxF827aOO0XFDjtc33ibbF0OBHoupr9NVIGRJVHGyMycIRl77YVknzc+rx7wbw+t 27Cj8OF0QCZMbwBZBq94KsLd/+jaFagEdd465G97InIHj6WzQRULmaterJki62f1GWsgxRRfC2kJ kiafnEgAlZ9siyiiHvUvmrPneazPdwNxjjx7Qbj44S6kMaY1zlPx/oL9824unkPobVdmq0fr0Zq9 SyKScmilHKTljKq6uGgPwAvKPSAjI2vHLwhTLkZnKsiLaxB70KEqI0G07ZfFIO51TEpJKSPmQCY0 et6utZPO+r+diI6HdZd9yzAI8TDcdPf4DqBMJ+7V9ypB7zIqz1wE2Lr0Th3OTBUARcDJBpKdJsb+ zAGlza+UMnTioBHqkAENPdtskzj5A6LVbZaH6Sgrc6SdpVt80f2q+BKaWtJkT2Tq4xeIRVwN/iZY wSCOZQi62FJc8AlkZKYNhgrLQAMol3uMqnuxa7mhEECGpRqDnW9F5nPN38rFVi7OWAITwSdswZfH MJXSkgF2hFW0MNhzBBX/5C6TeggAHCD042W7dsS7HvRdEvrQ7amrL3Q0DHcDjYXccGUpRigeQ/DV 1liJAQJN38QypfM3Bfe4lHs3E32rrV7Onxk4fawBnRLGeWyPew8+FBmJMbP4K5iWFlsvvbswsR8/ NgkTcj4kTQvNnot0mblvgZKvGRbrlVxAg/PAy337NMTD/rUL7BpbauGMg0vu0X/SmGu03Qol9/ck LCWOEyQDmEJOauLRA2OnSYahg6QGl2VVBOC9jgeydATeCAIj37W43xunB38752/xX4xuZIZYyxeP kUL07Oz66cjQLwOryP3xrly/EBdoXUHrYwckF0blXBX1ELub0rUlKTrkES4rhb5ynEY5NbqTI0Gn R7MpFK6PKtKVYqsXnY5qLKsal9qkixML1dyqXJwM51TJVlXc2/AghioihvP3CtlkEkJ/FzBeIRZ2 Pzq5oYaiKJQK7yyhzAZspQIbKvP6ytGQQXD21MDVJC9EUzL7MNPlkHnlDylLif0KbT4iOogXG68k eQpHjvLAdTSFrPnyCsRMPcdwNstl8iyhA47mZM5ZulZ3Um5P1Pg763yZY7QGL3JDJ45xlQFe46CX 0GIvLpfe64neW2kxs4hizL5j1Onn4y0TMZfK6gOEfXZcBs8UKI959pM0UbW7JoIfaT6wO4/Vv+eh FsdyzgDRgPxCoiSVC1zzot0abgLlXgtUh3wHcvQODx8R1hXn5LzucSUI3bKQz9CzS+UYHOr6Uggw Q+v+rAW7vzqpyaxshVbaFlKIQmAcixe6kIzU6kybSxYuwqXsg4vRz55tT/vsm/B4GaFr/shBijrb tyRB9jheAbqps+S2bJhGXDgf2mO7kscqso6FcBrFxJ6wH1tI2lNY5YE9kbcpMliCJXzkBEUHNuFx tIrhFJeCxB1t60XX2uYUp5S1BJaZbSMVi83q0XPcYb0MoRuXIxspI+MP4q49EoIIQ9AnjjkSG7gW gy+98MrihhiaB1B3OzJ0C2og34U3KLc9iLvhLRS6luSY9Al75/Gj5D9Hmg2VJcgxO9QxP6vmhnsu 11MG/LnV+en6hNemnknSUCpirvRD1izje13xVWOdFsVjHvV2CuCqofcGmvkq8Gr8Vl1II6qtoaGU HtSN78IjK/h8sZLpkp3lh035VcV2AmZ8GgPSRMlTa0xdllQmtMdt7AglB8hu46p+t7J61Kxh03wD kKsi+cAOdKuUDyuE+qeqR7WuQQpoXC7XYqatMQHqc0eH0snSe2h7lUFP7LbLm94uvawtTvp6OGGH zSeVhKKigvBldTSRZJ4onYMe2IuwoFOaprh7704ohWaenMUqWo+74qths5bTdEyl73kYgE3xfA/4 OFTDBL0LZJmthNqp1An6C6KgE5D1B6pGTD1x5RaYQT43ddwX4Tm1H8FyX1OnWKZlR9EHLtc52cu5 2IVdCg2ybX/xGtkO8ClM7ueF87DWaC+NvC7q8o/f0yeJxmZpRNQX0FTZE5v+t1c9E3AyE/lUHIly XYRZCpksonSNTP/Ha+1lHMrtN2K/foYnXJ4+SETl+GynRy7HXcEXpvuatLspo2fsPmpY1oV4+bvU miHXWAe7BiTBTRE2Q/GALpY1uziR1uJvo5DOZWg6r+U1ilkPo0Jx71cMPmtfTQYepsf3dQf7DHSw lgjlKbFEGrYbZD3zznuvmXiNhBhjHpoV6o6OkbXX1S7qtPVgoQJyQFxl4STzWi69VVQ3FGmxHsSj BqfjguWGDEzzX3358zdKmwn77fzHqD8TetoTaGd0DRkusHfuIFq7GE37B6uxYU5Sl9PANS7NDWlr HPRZ0gZ4w867cjHVZ5AXC37FMdQuQ23PnUF6skx//H9EpaIW9PRwTu6MywKe1g2USXHgn30lHSYO /vzT+7v4Rw+EG8HEm6xOMOcCXehEgKQmW+u/heHKD8b0q4YMktejQybBR/7lJUC9WPov4pyL1bxw q3Iv7hIXvx+wAL51v3OPSMcx77hgwI5QfjjxBBLqtKt8mgDmfmSSeo0Ak2fbNND+OAJ/O7BS0Gyg /cpFpT36x3HXejoWMkofQpc97KKDU7bd1/4/qShQ3xwtk4u+X8iIUWZcgBJzjLo2qK2e7+DlPDiu U3tEwlZvBZEnkgjMxxLVqkmmxj1n9njsVhKyOU0Q6hwQsihFBmZxsbKI7sy5ZZN32CTcyQw0z4v8 DZYPcoRR60/vaJakMJQMksg3ysR7ivxIraiSZSIbfzUaBs1dydRUFjgEpzttYbYnuX1f6e1moz58 3Z0grwFSGsSERmuuNgd0cOvZ3E9ptMxe8dk4KvRgBXo94dDvnVevub64yayUbgSDuiYT/xdXnNbM 8AcooO4IwV/BSorUaObddWI+JZfc4nhcNiX/aqe7yGqkd6AHNGVp28dkUn/JZBf+njqD8NWZSknz SnjaHYz4I0jkl2BiVs0/89mOFtnCtVG/LiuSLlH1L7H87AZmwAdDLej58n66gGJIdAC6m+SIxUGN cr5Xk+LNqZnfMIZMmwrjjf8TRhJz5N1LNfCmfwOvuaDqOfZlIw28z82KI4Ie6QGIhw908bsOFlff Dp3Y3JCzjgOSCv268Rh2D1Od7N8qFw2BGTfkFwEG4PQUtUxR6PKgucApgq8Szpg9i/GX6kaiC1Xo 7E1szegY+HyXH/5vYgidemYuSXfm8J7CZDpKwibvSU4/AN1yp0hvbwFXnCwVdnk7X/NHeXpHvGyT zewUBafT3MEb0TQ4mwm61GPVrxl7SWWpkvwT//2toDYuhOJkP0JaJgiiNftSBNvKJf8OME1EHl/z 7nx2CQyQElAdS+VI8NLAfumzCryV84T001x/DJUa7oLHebUbRUrWSu0S8EVSWSnJhC4AK4EM/eCT +n/pvrHGqjN+d3MKOC9A0ZLg5vN7sbWswQ+cXfcxhflOHF5qDEy13qxPRxmwBWZBkrBm46DBSzgJ jJOaDpPNkDl/ewIcUwC55q6sFWIsL5bHfVmmMgHXCCLHSiDjdQpYwb0OjB/FX5mySOxbXTcXd7w4 VhDQuh3Xd966GPKdkdRtReesvBE7xQwvrpUVrP/ACkQWkFEO6UQ8BHeuSAlwVMHnxglvMSjH70rc GTMxHdy6g+UfgLsUmA0AMtnjhlDaz76VKdvKzts8PLriEkGI5Hv4WvFibgTOZ5Sp1myvXnGqsQ16 D0KLzO5psCRoy5E4i7bkvomse8x/eMnX5pNyRIA/2UaHWIcTNy64YOBGp36o96CP25mxUO3yuq7u /uWQdbpFf06PnCr8A4HtinK/SpZUlVA6U8QqKWOQgu6IJuaZcLUZgSzhxq48OpDEOw1K/4yXPsqf fFpcWCeB355eNwUUFcqdXVILCY6Vp7FOfU0Fbisr0gvDhzXOtZSSCR666u7bHfFISn4skPaCEfdN BB5IrTB8xRPHSLYAQgl9SIjs1CZjVTrkwfdu9IM4zvXFtcGRQ0mUfsGRXDhjG4VGenMj1VpWAqpS HvWtIQxWOasmYKOC5Y6f7egYRiNOFS62bJ8/I4phlYIiY3GNHefkLsUOy+x41m4a40RXKT1XjdL7 k2oQ/DhrxDDJfTyNWtmJdXWTIkjKEHXUDEyxiK98NQeMUIyothc6BBPK6/lzTxrew94btfGcO9nU 7fbxLtXSgOBzYCf3TWKP/8raAUNhmKlHqduQNiGWemegYhQ/T+kupuP87y7QmHbRdInZfERT+sti FCtFMo12M8LnLh8nIZAJmdYeFHwu2birsvwheymipg4cpnDL4ovvIV18HkL4F47DFSVXamVJsGBX A6i6eU/M/QIxpXMIiGX5ekQkV7vjvZkCQbiffzK9sgKNq5YxieO9WboqMkle9H5T2zDP0iq+YgI9 KtKGBQJm7RLAuR9e45Pff+Rljb14RYgNJ0KC/AyRyPEK4n2YvKuSBu474ZWOkczANqsMHAhcuK3L YczmHGvON6qn/iOwCX5N9BNRXp8xwKRus6g7f34BIFX19ziAJzQ/iUdEO9zOpJ+u62ukabwUbY47 tLnGdw4qbrSuhesO4j5uDk0IXzZ5oe5j9OUIdfyNsU9eher6hikwpHs01vQPX7KGqOrf9qdX2Bn0 or22w5rAyKq2LADvwbeDkeHkc2+rvRs1SgpPYlTe0qmJOS5/hHZboWHTJQhiquwkBxaI1fLL9wSu 8vw8qahZhZ/ofMk3HVTwYUQxJRNDIN17gHaw2B0xhP+PSbgZn/xdb7nWH38ubsx0wQRvuXLn2i1L /iQNsBT5nPLF+cxuSLazWN/gsQd/j/QNsX+LNtWyf2HPOMfIBqvHaE/QXcZ6rHYhWt3Vki0g0ecZ trvMIL6799szOgidustTnIzAm+zGVrjCertx34/AcUQKEwu7n2XIeKgg1AacDivqjUccKg1HBvBW iiuoWb5h39V4st2XYI4ilio+QbWTdlKZYB+CuhLRjDcnZ43Vw39GEollsk6EM94KW4csvCEqm8HP 3HEf1ov/OMfVTnh3PPk5ZKKsNWBUHMPEXdGBIxDm+knvW6uC/Vveq9FY7N1IMUx3xwQ50+GjEif9 3FXchlQM3cLjFr86ysXpLvjX68anfLy+/6nIJTMX1RP7ILwB+xpxJuxXNEFaKzgZk62OgsnMssqm oPy4tLoYnT6kszoSfRKKCvX1Al/7Lnu8ScMt1PL08Y7wFGWygTS9eheZS99W7fgze3i754fP9fkj J9vP9ZHaOwUazEowT8e6jq8hI9xr6aCYIy6rdbGrWHM2HhLhpSRBKe9e9RdP0u7h01La9yQT01MU zz4NSC+a+nwbZLM3GvvvFuHH5E1YHx7vcR8olUavhu7GRRdO/t565+rReKoeo0EMJyNRRV/AI/25 KfxRegAzdCbpEIGsAWyIXTsXRZTF8tQsVdlLhAcklHk9Ah3bA2v/Vi0PeIiA0es45MvgKa2U70y5 cyk66qaW2HTEBPPgBFD2CFEt2CnOhxXm7vxtrtkF7cSRWd35IJNfQpqxVLeC94xqRu5DT3fFHoRX h9Ut9+jF897f6HeIquSQe72d85kZ3DzvL6Q2bPG/T+LnU0O33WHN1w+wu8XcxpEegbjCERVUxpP3 s4gB0Ymf7pxbtCo9t8zmqgpmzE5CaU16o3LSPgYJ9wOzhbhU0pvlxIWZosCRgM9FKfukvkm5XKuB 5OwubFc+KQCwso0zRkvqJCSRqBXkLfE0sCw6NWToJeAG0VAJC1zJAmHJh+NKx57PoWwE8pTPTiWK ft3oyHIm6vRZsKRSOnguifcWju7ICxH9wNoyQ1vXIutCt2P0O8hwzPtgI5aWC49M4lawgobdeJt7 sc/THF339gxP6C7HFoVUa9L8Pn3yTPQBExYoZ5cDMZJSqsl2Yak44Uh9fh8jiIrhiwyvFc1vEy8b 1coSSS+m5KOJY6Udk3aupOzD/G17UdaKFT43PsLIoULb+T8aG3qVzAeV4hGlKXCGw3DiofHKVqW9 MQBVWK64iMjozYzapJVM93gshWcXPWWomvFEQQXGEcWqP4Z9mW3lnRJJe4/oX3vYFnUnZ4t4D/GW chj1tRgbzbonv2npcp1vnevRXQ8DzncFXhv99hfapoYJylWA1PDZfxarW/FMgTR8Mfs6SM2UXod2 wOdPDvzUdRLEolFpAgppon8VkX72atzEL3pXFtt1Eo6PaquzsaF8yMau2cIj7LYCbUQPUnbza362 LWZRKeIrQqrdnsy6W1SsSuh2xArICzkPGgXZEt7bO0pAFwtBBy8z23C0rqP4f/Qba1PD+F5+YvGm CnPim3+FDN1e4aOe4QjWtFcsymairl/+e5ifaUrfM0dBrp+Vtf1tn5UKHrkX2KX+HUPZWOtQW0QM UhgTAmjwDzNDNkjU6NbZpwLjZy6LMBwA3dNcQ5WEyxa+6/bHY2otmpHhEg1zX+yyPZwqoGBTkKxt AIo7zPrtVhJ8mzEs905HgSKSD1c8aOJSCz6Z9suDjF75UgCJWY2areEDr+9vSFjVw9A+Zh7U5jR/ 0+HS/kNfOaKVgji09ZJUm/dCZOShHMD/m4+VtMR23BXM2HDKRrHV/fnqYTP65mSkOJVx+/Kw65ks lX6h6RUDgxyfyjy9z+Sv3cFiQAaqhXMTvpYSrQOp5YgT8baQ6sFryWJhozLSma7FmK1kbb/tu0n8 kkPT4j+6vEMcP2h4luqGy0ytZpHNhx3n6rG6orhBNygbQkS2fw1yJ3Hwuol/kE1KBp7Wmfu7BHBe lsvOv7mgjSKd8mjLnstAvkyOv+0kzlbf8ui7sXdig3PP6GbOMUdnU9yWe8Hd3dGmXon6Xpzvw2xp r6gNlMmKPKLBVTu9QsxSe24zhjF8aMWdr1ZeGCOIHFaYYJrIqYO6cyRLFMTLC2RYNFSMU8uEvve1 CdPpTbpGZwbdi6aRAZrVdz6iuMug2B49IPtOnckCE2qxXG8a5+E5iQC3dOB4ZyihFjkYGnaqrUyr kL0jEJrRLUE4Al/DrvKO1nQtOGdA/tLTIhZ5O2EqM1hTRxkiDdHUWumJQZYDXGLNqNsT7AG0nWzf CBT7ezSRk3o0Jhe9xbYOuEiTsRf+2FqcCq5ER90LcoQwq5mkY7dFOzjVurVP24gYPhP/8+XO9vUa Clw97ydsnb8whHPXoMn28Hj5kCeCBSJKJyvRP0nSLZP6MI+JWJRv2YpFlAluJ3hO3rhti1MXkRtv B0/ibc6+8QNzdQtKahIz2M4KadxIvLyAV9rYp0QhCuYPILXwEI8CDfaEF6RIEVuacXBR0QXU6lBh ici95TUVfLpa6JhML+hfZ1WN74fOGJhj9CJlNfdU5qlkaxl9yE6WjrLA9Cd2tJ6qpdf4tmS9usZD xZJgwBZFxj/L29TPW/04Ia57xAFUTNtPWQZG/AQbd/vDgGROffHW5ThuzvSMKyJZTptFnHAbcyPz +m7PcsJCgOBlUyQVABurfH5X665ctxU6pZiOniQAT0lKLxg6bV5NFe4A/DTUykYFNK8O8tNUvl0Y t9oHmOyJFoXxUkyaj8nXbnd/eE4BI59MtPY7eDYru41vhIacqMtP3+Ny6t0pc0tl61UPISYtO22l WBZScyqjGz6REW7HFQfSXxyBCDiPoZEnurrIj/42GRGgrEIXUxTbFVTb+SdV7HTF7RD/1GN/wQ/u 6lSRbMZJF+1r4vpuOQMA6WQE5ATWjCea00b4EqLYnOaeKx85vLedLHu9Ibda567N6iU0hAmUoqvo 03qgZ7LtrWtTUGfAhED3bIqfSYiBmNhX9SplCtXn91na1b2WEq4vvluq6E840h84iB3uNF7gj9UW qgrU7SXHx5RVHeQ38FxRuFyWm/FXc/J6HH/eJ2utFhTfWocsncLRgU6qYgVNRWQamq8Qo53MfF4d T//nnidXc8yXCJ6c9IhgDD3KY36S1UUKV1Wiyhc0lLilJ19OxX3ILi2Ie4aIbtjBgq0cDGxX2r7v 3ZK4vatxe5WdjDxGHgSxDmtVGB4z3RXg2SyCAuUYTOu1glK4FLG7jYQFRhSLUFnBcyEbSDLsw+uh vEpWWoLX/Uf4gVTrAv2Uicl2ZWj4mQ73t1cKeYv7E7ZarVpyCSgEt3Fj9YywJffhasTzqUrjxzZO qARyaR3ZuMw8L61Gg0/bJxYJ4wSyQ7QYJ9FiS5xo3CK8mnLpVKKFKWZzXJ31HOOwgGjUf03P+L5E UWtw1rSyp2S5Z/vuhedhSmR7zZlwLtPHGxOLHvueswEq826fn1RA7u8Ca4HzXKQ3Gq6MRQoz3dKu pjpOI0h8GWy2BcTSgJQo0yhH4DveOSD8YpO8zrl9+bVjLHWK2l0L59+5yHtbeKcriRmnvAiqctWg NVsoBCaByA5Qk7fGx1XVhKxRLjyX/tFkd95fcNm4ZvWvI//NxGAqiGSycCIrOkvOBfq3me0ix2tA kQR/FEWsqkGa82CyuYQVeD1cTmMEzdRgR862RLW3mKtEwUnh2VVAHH8kKwPi/WoTVDGqYkqkRIMX BsjInjEZmDu5o441d9MkEFC8fWyj1YtNqj7Gd0OJgWus+e1fUd6JTvhryvRqOnN0euMDCkmlAN+e W5aZtjjvPjpLOo9OM4/NjzVWaAC58Ka9L2ZrUR7BHZyD7SnfeM+zq0ftZFkKP7J9pHK9zRItIGXd aA+lejsF7BZIlrvr7CMfWe3p2ulSg9uguShfgBfjqFH8+DSaKg1Z0PhCUBV95iqfiGBe5aPrn6Wl W12s/+l4Xq8PomEI/jvMLqQvOKHGueNhObLId72dQXrDzBy523a58xwXeBrKLQA/n6vo7PMstrZ6 PbyWUoaWEGY3F1YE17z6rdC1d57+cmcDm3rulZbPz9y28yzw5A1dc0eIPilqhyfQg6Gvo7OCATEz 3omxVVbshVabJ6j1G4MuDgRanqvlQYs1OThDJjpe0ASSIxqv7c4fq5GeABneHlZsM72Roi2ueU6b Uov6C4nk64nEREJZrzb7TgJ+EbAXm5emSxC3OoBcVhbG3P1TL6e/qN3gO8ZzxKrkr2AVrHhQtQZ6 6mLx57yHrP3fy2hoK9zIy0SIgBZLA4pUwess1v9m8LCocotq9CFMwCpTLzqtLb7wPXBsK6ajc/8R 49v6/inU7V7rQOPwBIcODVuidW9BVZCIOVVaGIDhC6b20JQnRJJc101bQKQyoz2QUovC2iOTSHho owPfJqmgo71clK1nHVYhj3JgjxriBTSxDvrtvrvHl4KxGnEe91x/ISXc1Dx/wmGAnFfNRXc32n8H H12KQG8rHhcU9Z4bQuWWi2cO5mGtb7op1X7Hj3oK4eGQ3FipVa8J+0gRg2uFZ0EfqmzC9jloKkEP 432rGzzDWpLsvpGnNretYIZgGExKk7kpzyUBm04PBPl25j54pW4YS647XvqDOPqTaLVEHcK1FXI0 lqwCeWeXpVcUumFN4Uu7uKxixdooaS0pMc8uYPexGkPRtiRCYVxcNyvJo+PsCJnzouuT/RI6wCZg J8qZxEvDlnTr29cLmMFH9+rrH/ZcXymS7zt10g7X/yZO7sKC1DRRUeEnjcMarl6H9BeqgBhk0Q8Q MV3aZqNiVsZWqcKLC5yam6/0c/oUIXbFWQcax1ytFoQMPlYSBdkU38UFq8rx82WPra34Q0C4fLzn wZCrcjcsJJW2uw4sU7xUNerd9cvp5EQBNtK2Iy3HIB0z6Qd4yAC6Qt4MVArlgkOknbxrGrWZKd13 OgZ67X3h8OliCoCWaSkhzkoGVBFDkTpIGjUTg92Ufxrh3MThHM+okYI5CKo5GTbqXEuxpjVP9Fge ZMe5vVF+G8G/YDRMC80hN6+8ocm3EzVuRX5vbd6NAKOM0If53rZEe+kjUTrFXAqq8ihAL+hYFzno ZxUpml3VTgtpblcq26dxpPVUi0yng4aItt3EO8q6bvwDr7cl4BZJQrRwAMI/U66T70XeFUfXea04 WzfiWdzDkEN97fUfhi3adGhXXHGdmVAI83RL4nd3eit4JYhWdSfP95Nx6dfI+7V2VfKbKctM+Jgr jKu5MuHOHiIEuiWTD6JsgOzzF9347b+g8nTj8g1KUyd/W6QNMnut+VNBX5q3S61U3ylvoKLhPlni LIbykQlhrB9Vk7RO2eFRNhXy+XRWHjEtW3bAUJ1FlsHvDIPOSWA0xa+ZdsVSbk1P6FvGC1lCxfu5 31Ue/cx8GnQooszyBp5h1XBJmng2jRxDd6ntoIGu+c5D7ZItqCalEmqCYjJNc7rdPjhDJE19R/Ix NROkZJuj5b2hA9StlB2OEBr1wD1RzchEn48J2ftdTKybk2qJrjZszOWiCApwSSIejxPUCNyEJ3Ag L6pJbzaAshY7fDj0QnJy1Iskzs2TiyK0vNU0yYr3tQhvLNlO4d7xOjD8R2/GxQQZOjPUJb+BKXiM j5X4w4myEq5kAc7gbNlkvky+NmoCdBTz0UZjT8mDKNMAWzy+qsM05LkA1YcfrQgzjZmqj8xyKE+I zKRHv49cSW2b7B6J3e6uj91KZgrpoifbsC9xcbQjUAfFM9qdNYtwp/wfd4OCfSvhO5Ejp8fZdd0M ZcE2J30V1YHszmOIdn+u3gckTs7LhuE8KqYRFn90O0Nndir89MQjNytDLaeb1BW7Jwmsu++MyLTZ v+1VeAnGqTeW1JW6qCMFDOOz4MCygkDGZZy6r5NVMi3GMV9dvDPvf4nzgZJLn3F/4Y+wJ0P4ROd/ llA7Hinlm8qNCmV47VKyCuuvxd4oDI6+qTnd6Fz8PNxigC665waHtEe40yxaBLw3Gk3l8fdP6izQ +vrbK3ixVWq1tBUmYhHgKHbDcfJ6B8M1gW95Tdg6LB5wc6kzVrBp4cgvrFivSiC/GG30hELh9rC1 FqcMOkQcOyAY/wj3CB+gFWGOC4nqr+uL11YwqRuZ25mNCIJ7niZxVVRbxNflLfSnwCClsAm6JH1S 49xdc/5Oqk/yuwsq4pC+jU98VCmCI7WDHr1TVkFlxGEmSnpxpQNdHITRlajuXHaiHNkbUGwdwPFM upRFZV9XFVK9ZCw5tBLMGewv0y23zkbSl8DY3Lv7ulIywlv+ROTPHp9BGSsx8D2A1JNqtoR/n2TS FqitdT3YTEYjyjKsutxKWmB2x9fakAHr87qygOx5KdY74TP+bBg5P6Rrsd8ahWfQXIHZ91RYlzf4 Q2k7+j0PKxDEh2Ad3g9EiZd6qsZsnmuj9XVsrcNpLgyEmu3eZIS8MxqrfzF0vcbbhcTZBX6eXWxu A7B/RuiHAoAiRJkJ/uRuZYyU2e1IbaB8I4hLjXXgG4cmIyyiMBvj2uM3eFTqBIxa8d//Ujpe4hK/ vYckJgNuJhyrfNiwoJyYq/SkdjOim6YTut7eB5grhaKu1A/8fUTavywRVzLAdDxpJLIXTbwLtV5Y p0vdEqeHRcJ8QmVaSYJnVY8HHpmHikp80Q3acUKIYuo6pitUff9RFNMsj4k5L75x95X6qdJo2mfJ hd+YqPFE1zNZeBhWMmizwPR/yw5fhhZ8k1Q8p+lUQfPg7rm9YXAY81ruQV8e2Z8GV4errL2qtPfH gUvA0xN+5VJnTQy7ELspY7orC1AntIRJnRJGVSp4lDY9yqIYCsWx6prtc+tSNRpfqn3NlthpBUO7 eVRzmgusjRWyL1NYLHgNpn/yFLAtzk5ViglHSOy27fOlS4NK+7Eqo3w9yVWKcc/1qOGYcAcocyHC bMTbKQV6U95MLT+z7e0guC+wt5hl5tmHA8UWqzPlUMxlAnbggKUxCq2Bd8SB6jpadAF3EfvjcTHm RkYDYnkzqus3Gr0lxt68YamYqLDmRgM+++hYglVcb7XTge76sbPmxvlC+3PxqnFsSbegXpMmZBJR T1DuYBMLgNBzB9yp6BjuiqMkKLWumoDeLmqFU3edPCxh+tbL+njXjhocbu/owaK2f/ma81++EoZV uSiAX+6Lwa6rZrPp75YXG/vQ5qQOGa4I2EJo38barSHY8WqpzpmAIs2c8J88eculywlRRN4NZJ6v AOt7UFMxZYHbSBMJhI0eeIWIv0ATFPwtaIlKGDLzzCVXDnhlE5gfsAEyXBWH+UEG6kfH4xl1tBTJ haz5ZuP/tcGa2Ki8RDa9BF+uH4CTZDgQbJkYC/8/OLemO/A62SRuQN9fGX5weWsH5mby6GUS+1IO 8qTmOIRkphxqPWJK2oY9nHpLwqRHPuUdJiokr6snKsAylWSdmbcoZLgH7EFiODq0SAvfC/whDgEk aXng/IcINXk6Pq3YGzTeVfxY9rDuOCehyfT94ofwQNwjSomOHbdS2hB2wPqNite2dwGRdg0ww8Sr NOME4fkDuY5XIPKGl2b2jUBneYOtC/SfyOSsfOf7/JRYuruNSe+wspi4sOjYeFbD16rGMOYqENeu 6V0ULcn3h1adtiyNmJV+m8xT84yQc9a4vj85bngBc5T1/jwJMz69BcW6iHzq4GFKfEuBx6Ms4EPm V6+7CozxaH1kgXy2dESt1yA8nBrspO/OF5Vha3XYz59Kvc9/ugg+H131Q2FTrNPMnOZGtH6e9NOs /QnyMw5T07XXbr7aI7lqkKaEl5jOyxAJYtcuaNn5kEQzuwWubAtkAPGvxET/gys9pytNPlT/0Np6 pjc0aptOstnttDU1ZhI5w3n0SL2A/UmaxcpIgyIIR+ifWOwcVVhJPjfHDXNvSs9NryTqTqTMaic5 OFXgpGiop2hLsaV+wsVTZEb1uPNbD56d5EmZeV8iPfj3ogFzPrZhT2b3/COGS1a1ybo0eC10XB98 5OAKObjoqdKgFymMQ/HbIoexeNPbvnxQDacMvWz50oMqTy8my/LCo5a35eWn4YeUCKOsrPS6+iMc cQ2yyrvafHcQ9Nxjk1vh+pl0tGoZo/RsiBplhtLryKkI00v8NdeNTLOqOL3Z0I0sEvmV/m6HjI3x n/SRLtMY5nLDCiWI+0xgoqq5dK4dA+8b7vPbVCIX/qlUT9Ovl5cefiUC+dsMeTE992vJR4Y9CtQa rf8GoxkhJjDqwBNn0IImN5NAMbL0eBL4gxhm+fPbIZwUtj8+/YY12OOjs5i8iyX3gqyEfLgRO4AM +sK/AXbIVwTiJPZijrrHnlMV8CLXWFjILP/Ih0/ZbcCA9FZuwyHLkS4rRwllfu2BgTUM2hil7OFR O3uleA8tdlkhG1VfVo0F+h4n/7oZBJvsjMJHoRwsefNAqRTGFXL3zjccWtDAuzV25pHcOlHYXt7z jb45naXnFGQ/UMId+tfvJZN0PHCsiMsZcPAiUq8DLPvT2PjyjKXC7ERCNBZ2fFTLDk9IFCuSnV48 GufuNiOvrFtZKAwWj1RpXhFpshT6wxZSZ1+W+ZrDLKw7EwIq8qA/35dUM/kwNVvqZjAgl+0U1vaX LkVA48WgylQTY67NBnSBbJlK/eentDL9RqDO3sZSWbcOJvM5EuTnwlK/LI1l73aID+0Mh51n6w/g 97AnjP+tiKV6KDRFi3hGjzMrbZ4MERxWqmEWAXE0O4YLIVvxwsGsi09PycgN31OFr1U9JdNdE+mc 1Jf3FhCqdawekB2GHPJo6m1+2CvLRmO/wWkYz8ay2voxWv4evmLE/C1vrQM4n1/uA/gAKYIBB5rY gxkxItNUPo1sATFfUEuAg/JhTZCTCvNGfmHbBByMnmGeIMqmrJSM3QncrVrHiqyzrI+g0gDEtPm+ aHM5kdRhlztUryR0uOU3JJfqz4hV/VRc7phnfnBuCzcurZeBEekdp7lpwq2QnwSjIpXlcBW/9exD Wuv+pBKo9YdPc0TE8sGyKanQj1J2jAm4lgBzxlsu7YSMYnPzBveIB62DuGSsNT6B4Eq0Jq08cFq5 xPmzDqZfSEUWYWsWv27QwlSp5UMXAlOKFBiDl8vZGKLxAZBLP09jmH46DixeMrijyECUYK0qxT6F 970Wh4TAno4XZEoFehnfIqHwVUQDCwRTDuF4YEYg1C6RgnsFeG7g4POQ9a1fg/fALtbGNaacwBT9 hCO2my5rHIuHPSRi9ZQ92ufNHmR+S545matJXcyNxtRE3ig28d/P0+4BSceuHnps25Njjhaqa6Bb g2cKVJcrNIiyF3+asYrRCCTMj2DdB4k6qGK9zfofJcvW2O7RWhCLbRzxdgL0NN0jIMcxE/01onOW 7kf8CvIAlum1OwlMysQA88aYlZZ+YIwtpuTtaCMRfC4BcUKNKgYCQANyRvBvSUWGvVjK+Gs341zU rKQzfHLkEpCOPUxdw7gaoOeYyXsv5gYErpbMQXCVAQ8sU/sjEJxCYflOEkjN38zWbaqJsO8TrY+V pLNEh8+/Y1PkUVlrfhBL81t3DzRD6L22aNyh2pm+6+K8XaGyYoDOBqeRBD5x8grc9iVy7W/eqP1o G9vfaYLXCc5YPbBmOsOjZFkQMSjxj92Dz3GVI6dzmFP71Lz6yelpaJKAWeaNfFPwQyJJF0A8QAGw 6Pbe/WbJpTzUsC5pZfjgrEroGLK4MMQHX93PPfup2VNfoho4EymIlRW1kYBSd9kWFe8nhldMEzG/ 1C+qjFzMsjxZexl1ZY0r60TQPfXDMuTS2zOw/QjnIZwey71GJz1ER9Pmk1eB5aalMqpMk5FC4anM VplpLBXm72CpnSryaqhI/VTnKl3GX3woyM9X9FP5oEZkyd/XhC15GhiBMciCodKC/9dyeyt0KcVd yRbuGH+L5RG9G5Lk/OEkz6X6I1UQ3VMexC7yIECe8dkPJBw9OwM6b24kbd8USdiv8LmE9ws1g/Pb I/pe8UbwM8KSw24gAmflQiBdKf328UXCb1XI2JtD7iBcI1NL+rrz6r63qy/ygkyFdJ4uk5zBit6c qcyWnzETyDviCYHGyzp1EcbbdURik3V28pzdPptoAHWm4gO0lhbDOmO61aSavySPn43ocM28kdl+ 0tBPWmen1/jItKHk15CNCOdFTDayFe+jp93nC1NMAxYjPBay6COU1NfN1daFH6lwLQC1t9pKYE0W 8pjXk7S0Y2bzQ3CPk2ceD1ku6psH8iFPhZmr4O5dILhd5rDoe87LkjVsly7jpn1gi//27eqbVvzB QMVZZQVqtX1YGHsFaQpAy5bxI2sMqqUy4fSZXVf8KV5/wVlnf2JajutXwkL08/e3mU5u5NCU6AY+ xiazehY/osyKPZCLEsZIAB00lieB4kI90TAaARERP9xAd9Lu/O5JYpll5xA8faI8Pa19ItSV6YzK voodEmjzoDtgDjf+rUI6NVcAguOzKwPB4ZRzxd1KQhoUDf5ckxYw/MJSxojWuvCNOvyLKM5ZGIWc smY/ElAlvdmRPCjcT+ysPyFMhds1+Uc3ENrS0neGcOi+5w90V/ohf2yvE87sh7cpoAXBINvcxioj mMJEv/t+A1S03asWwqZKQJm3ZGFfIQ5f27Ym1b4bXb36R+MckBoP7qHsZt0moq3FC6LdaoaD1wRk wDZCBbiznb6VPSbSZwNQI2joPHuSyAAh7uicOWM2wNnK+EgzScm0qlI3DZIteg5iAS7eQoBINUYS R2Wlx/vFctpUaD9JYyvVmUE7NUg3s7gaQIg2BsFMp/XY30jlr3vRMOJB9lNA0ZnZpAqyQ65yq0Uf Mb7QosSQ3VXR3/AJ35PxeHvMa9uk3mlgGwudC7ZsW/35/+0N63v77dXvrqIL5hd0lDFg9FnkY5xw b5JVG2C6fLg4JVUrlM9XKzBCD6LSEpgXOGviESrhFLpWdAnA+wT+0QzvvVVdfSBiSSnOKXeNumeT jCND23WiPXnOSY5ix0H3n27GsHnR5zPgMwo64PLFNVeorZXFV4OOl4TO3hp1zWshr3zso5jnXEJf 5y3SKtM/WWLYD9soyCNvGLpd8ndoGLB8lU24vM9PEDPtjoAYv+LXqnM7fukn0QC/+dFzEm3b5DrY Y59yNiOY6sWEXe4Wq2S2PI8cPzWEl7typV8L8p39pX7S8+xGt/wn9fwsaZVQ3ztXsh45lkfxF3P6 XCs6Adou5MYVlTc4UE0sbX56homymVXIzUKe+tE9xgDXW0xka9V1wnidwv7bavHceRDmuPVj7K3t jEhflvU7yzsUWr9Nx2iLwUtbDj7D8fpr0U5cOh7QoEFJJG/4IJs65Ygoexrp4QxNngDWNXGXP4O5 +pyM7ztTMAYHNyC+pxRB5ZuOCy2JEU61upr51i6WMc1X2+2FsVJqGTphIWO+Y1Bnkevx+Sc7BtFJ F2wz2PhTdstJ7jKDv2FpcWQRHbzpZtc3LFf9Npn8xBIvNIZMlSAltBWroNXO0Lai/EjGXvPcI04o PVIg+Ez8kPg7qUtm9IoMhXDXBM89qQFB1plIElELuk9TNCZop4ijHeGneR4nnCbkc3nDV/mwNFJf cFiCbnDnPWtXXgJEv9s3GjMiheebrcqC84Q4MJlyBZO8bpc0wY8Cvc6GEVhpnD3Oqp+oGocz7dTd AdRharsnrJqUnDrMzvwWDv2mEKFfmTPsRBPV7O4w/rds8rU77r47a7kjZ5fiRnVQ41pKJ2CyIAMv nu+ivNOR8fVTFxw7POcg7EqiZVOXGMoIODCFjF3Igb+YrPsrAGSrwAqWpxNWNw9sz14oFhAK1tks dfkGCqcmiRRO5exdqIZbvKy82cqurkXcGAkvRx4rA4OS3czJRwdWsGGU6rOxrA3hdJnDfYjUE/5A Dk8hpKmtH1uEbXgR2jwJkN7y9r5X/waDfb0l2yy5p60bUUsRAD41+56LBZNbh6itd8XJSVeEjJ/C JOZrgLz7eUU15pM5rMCZcFZxLx551s8YHexyaakttamOS+eM0+N0DNP+VUSJ2BIB6bGp2N+i9ABY jxUtzhlQL/I05gOxVpy2m256S/YOWXnQIzdN/EHsylh5AmIfhycywoLOglSFEj4lolcShu/hPxdh WiC6qfLXn0BNvnV8YpIhVhFX93e4yIwSrPHpoMNCTKq0vI0yHGivMDtlVBpyEYzn9JwSMwQo7A1N ewVC9T3o4VQ+MzxOUbACK3CPBRgJ161ixM5VtV6kFNcxqQ5lrXRH8GibvnbVz6Ir1F7vSEXjeimf axk9ou0Bv16iTW9pOhqlSNlrasssCBW2mMR4i6u6hA7cOeFgL/W9SUETpHV2bL+A6/acPdF8WCJa uwef3TTkPLED9xGbUDzz1t+y2Uo7gKW+kdxRgdQXHr132a3lB8geURTLJtuJSimFAKUO2ndrktAK LTxHxck2sqyv6KCdlM2s9xBmcIGeNvBCX/yhoN4BCh6s+EJik/zGPi7e+NCJk5Ix9lYwCNbV8bQa BtD1IaqrQLucSQ5Pxj00lbat+wlwoGXhYvU8/E2xMjp7pUgmvlfqIhC4MJacLmvZNQfkg4jkabgY Wk9eq9rHM0cxk/O7Ivz9uYH1aY3PR46CRGQ2JHASLPFpvlliHh6vCR1Gr1Huv7AQpgqibryTFDcu kqKkYS+VRQ0sXNwV/ohaZU21IBgVydQMX/RmaIe897DPZ1t/PL7HLqEVGdrRAYl+mZywiJlYimvK O0Bi1QDP26kbGh/hDw4o6VIpiwnsn0ryOQU/xJFUCXEH5sOs499XyLeKNnFsJZuKtE4nMPB9olSj XQevXCNy8lyGBORcbNlLu5lAMljYd/t8oDfey5sVG4tdNdVOjLZdhMa4jISGgZCB1khmwO6NvV44 7t7hgK9g4NiapTOVqf6o7RLgmEkBrji5fPuVKjQZwhiheN2hRiFHL6JHdCaVl82MJPW6FSFaeiim OnHJ4DuJlBEEF4Z43jb2ucLJJLI6jc8uD/c9iqFzZkd9sDZl+7GHpYaQX5fzkIV9ohJ+RMCabONV k8RZpzwtw9bPcsJXOd9Auo1riWFWxmBQkgWNgRYLhUe72c2GUeIDvTeOh2q9smXjJL8A7GlesgRj 1Vs2OA1R3jBlYdLyYKLBsnB8sFO+E14/YtDximQNWMotILTF5ql2RdRf+gveHduHtsTRXNzbsE3O iRnylnP7jIZbre+kLJgAKXgLuASuA8ox4gOgtwdpYFucyDc1KEPQy6XzletFvyQ5lUr1RfKT+bgg Qzp2cVLJiomK8jk/KxNGSfauBnND7EKVs413fvF9s5To6keI2hO1cFyXVw7cal69UpQJUg9PfInL 4fOVc2xoH1OdXrmF+VH7zPb1LbznDk99D96/+BMkPCvtfYQAJptd68pr7AguqE9yIOmF56ivFiD4 9VW4OCXJ7emQsS2QRP7gsKUFe+howpnMVOXm9RtmoH7hWlabqC92/DuaV+JA34jdxAjha9JGyB0F +ZnjfKKOsobGHGwMHPr4SkWU8NUH3oM0Lbl2eAIwQM6bj3FbFkqq24H1swUX0KIYnxNroxqW5Wfh Dy7WFqWH/z85tkgyan1UTrcFUs3YJ7NsceqYaieWKFgCZsQa5XAPwJFoSESr8aQHGfQOkqDXsc0c ywtj54SinY7ZXyWd9xG1HvO1jnsLPY/BPyLf2i4YhNpl6EV5kiDKswGuyCWiGdzKnxogSsCIHqA8 S/gIpsjEZBh7grMqnz2EGgCK7aPy+TJbJg6IJR6NtNL3pjTuwQ0KhwZidiNHzZclRhKKhsQwUT4b WqSvP0SuRV5nlo9VbXC2GWZ+4nDJHoDo3g71Q1C1zjXtiGmh3qFdKQoEDwNrrrmtOohfxC7Wqykp 28UiNCv60OAbU7jkAh1OdCAQBV3kqN1BXsOgHU4WAoFYcWgEOaBszcPM5XZtupQTN08WTeoU2O1D 5XrbbxuWMVk+HsNgRIaYSCqylG6hoh6deRcR/ryqbh9aKlPu3AuQfjFaTRREg6vEyWkKY0yo7uKY L2xVh8lC+sRD+qDnV7FLSuh1Ez82e4GI1YyRZr6/TjzpSDuOYR4c4Mr1ZUoyynJZjLqECI2LoLoQ YHVufzVULzbqeW+Y097K3NcA6Q7tR0OZTsxGArwh7HsXmWAwriFORuzlQjB1jz8nto1qH2Dk4Fed PulAJPWN7CdmyTkZu/iQ+c8ydvEex4QXfhh6h2bpHfafzCbTy1rWH0Z5uLaYQEs9qqRQTjH8zo6f 95dJY3DLmttb2WXtlENPnuSYu1+VLooLEjKdbo5A+oecQyNTKDB8NEPKYNzUelznX2eDfELzy7Ib 3YcTxYCL6p859N2UghjrHkvPWNC0/xJD0dWzFd/J9Dp7eKsCuSuYF6n/DhwsDuEWaYI/6kZAr1Dx ZmFdUrs9tgF4dPKac0u/mz/eSszPM7MwQwDR+dZcJ1mSumH8ygN13yegH7XdJCqTB7De5RKIEyUB mrLstwdrNpR258xdZ5+dcITc4b6ipMg7wmyVUw+6K47x6TW9cz8j6jzpaYuNm+WyimyLCWvbR2Ma y6b31fTvzTalajZUQvRB7/OL5j5AU/1ZivwaHTbimkv+LBoitbS/mkqmyW8HI7+wz89HfnhNyWUx I/U5wZIXxsCxtX8YObUhxL9YULxt2PAbEhCfRIyhcAaGXIpBZ4Sk1J+B/Krot8QCV+xVIUZsUgea ar1RkVt4q4hij4P+m5vnx3hTT7GGsFxj1eqPI7cmCUBtdN6DXA6KouUvIJUSld54+RmVuF2yq9lY yhfGaDMhWtcbjtnIcucRboXIpB8VqX/snkTemWhgVrxXrC8WiQPc9682ZJMyEZUfOnaoB6EoHJT+ p6ZjBXFQSflwgXKq1BYryVUCDzscpZcYPDdJaSLetYkGwIsZXr/X0FEQFNgXS9L3fGa7A1bVTsHS DGS8mtsaJ0HmEkkUoBusot/K9zYFp3Sszo0XfAJCxrg1sf+MqILpy3bTWwQB/+Hk1itDiwmX4EEB ZjxWMqtBV22d+5cl0tWRVTZX4awK3XYYE8zPuJC0Kp7VYQ0EU48dy5owSSJDtFmvHaeyWUPj3mOe Z38FGgnlNDeAvEw5WiP1Xk4XVjsi6kff3aHNZ9+2ZalS2nDCFH6JY2roh7yONVFix6lf64zcK3mN OeWWPBhxoFhQKa23N3VvtkQ7JqSK4tt7OG9AQjREJme7pVDU3rO4ZqD0d9HAyIsEfU4MV6vBf+1z aDCkdtOvXZvySI1LDD7vPXq+LXZ866PHyNOlZkxeI003Kj7u9hP7doB+mcDbju/+VKkY9HAIEnHq su/N7qLa+/p3TLHIraw83fhHjS98XSsvrUSNalngENGk2VoFjBIji4nZxe5Qk5+My8uUDA3d1h5L DWqw/2Va3w5ptJFn96vhhypQkoMH0IFb+io51B8LwjOjO3vQeOqNIMyr3xqUlaI5SaMoITQ9rP7g qFc3Kgpq78t5kj6+xrxTMLzZKy2fh9YC7iXxWTDdLZrLeCXOGLwEvFsOkj1ddekvHcLZ3QfCWq3c 22CLYHL4MPGjEW/qNKIyh3Ti5+p0I4iegtfvPiQWS8qkZn+qcmlVTs0R3YxitkcG5Ullaz22pr/e GQEjP0o6OLgtJIl5tCWpuoA3dBYZw12jaj5ulni/vbPPRxykkBZTPTSKZlXEemIZBAOsbHYoKfK8 CoBKYgB9RBz4jTFK7Qn/dRfzZAMGuaEsIVfmdGj5U+818jrOYZ/uB7zWHJ8Knl+PJB9ew3X/42wq c+ABwiFLy5j3m2ECTU1QoiNOzGxSnza5urTs1eEY2oI/XhY79jjrw401puiAue7DvShIIS1OQzk6 MjwAqIamTA32wGFh6a62DhpkyA557w25vOaNu8PDeRJ0AQFHfv7BabMMJlcRp17TKdhtCHLrqXZa JFSeIT8Mqp6r8uTkYelrOKf2NbJ6ilXDuVjZjmPqpu7A8Vsom6LdX/+B0RGOndSlHBbk9QmZqTSD vilAh0+Rv82r26Gtf5LIsqkhCm4uhcVh/GccDvu6KwQZAEoS53M8JtfXgWwFYDyjM+zg/lQCpPXe KzkXaG4GUCy3E4HFUbEP04SSFy9in4XLG3lCok4X8JDgaYvZbFsYxLrQn7vYjDG1cAY1fJvJd2VC oyUY3+HrW9zw+76yVCm4U6HGuBrQtkgf6I+CO1ivdRPdMp4mJnYia8tXrZIxFazx1r5LuSbPunGK vtwhQA+K/LpsGFJti/4ZOzddpm/YGRDL74YbQVojc3+H5OcwiCgqscTy2v8B3PUQSZXOXzLpxX55 BH6m0KYhXbYmlCwBW9su/HK4b3I8EkD8Rcsf4/33X4L9zk4XZxhE9ObNcOWDYCEm/NkdxLi7sA/L qs6z9wii8gMnD5xNVBYjsDG2+NZNiTMPiabL5fjNy7ZalbaPSRF9ugkgrAb1y5T8HqYDlTPx/jr9 PW4bBO3kXnvGddl1p4S/dpm//RcDAx6MT4mKIYpb5YLhciwZoNSbZaBJEnIHS/khOLpjQ/qLgAz/ cGcQ3XUYPNkrF/Jhhqq2BC+5c9V26WByLp3+k/GRopyDz2qb1BcsMEsrTp370f007LybmkoKY2I0 vbDG0eMWtzR2i+LWxiakDO3/qyQK+tuMS4eJ6OuFcD6PYUL7RrtGCz9iyd1iAZ+HOSWiqaLuLuKy Amo1ayjg0nlLSrmEW0XQcnwV5NPBGe4djqBHamR3RCqEG4qYmPWAci/oA9Sih0CZbTMwJMbebKUx YgOdl2EVII9c0x25JIMqdK206UuXGP8HhxQPa2CeB58inA6Wx/EsXxw083t0hwEPdjunLlNPWtLO eql9Q7fhPzMqO5SYzxdazavN9x/EXzS61uK1SdoMa5gncSjDSV2b5uw+EsmRclBXpbpYPPVNjakD vh7fn9Evp6tIz5o576afQj+GprfKoLXK47kFHJx0diUYs/wH/XbOgsQrFlJfurUYtxCH3iNiFWUO X94jM1Mwlctpv5cTHYqNSWeTddpsqNBDZKYhq4/tLSRBPMHUNKACRujq60eZ0jJjPA1O0ypUeMJr SX61wfEJBjHhNENTj9acLKzgWRLGWwlMEren+tIXdxqL+ehvJoeyowG6Op4Dx3mFKDFOZlR9UH1Q EPVdUfYYXPPkiVGpkxWYK2RZMM8dh9RQ3buzUk+kWnxq5o6MjX2DCwjI+kS7LBlBflXSJyWVcN0U Mk0Pl4spsJcIrpg3/hZprMuTBM/gf/se03/UHw0TmNgXvNgog3rcFNOSKUvuqkfP41n8iz5/k1CY ds4VGzMmvDROwuLZvuhiFHiCAy4tF226+D5mHSxo6rEhjbbLW+OYomQYPB6PZFGiSdd/OxoRn0yb RROM6/yHx4kCeWGfE46gmZ8LzQssmh4lVSFCSbedyEfevvYDjOIGrIVPF7r7l52/Oz2d6lC1F3L0 PwZ3YA9fsVMqKrCoMMjLZHFymJ0EbJqx8pYagw0key6NrAxFELpVZvOEGmBHgsaaWH+Meaht/ics qSeq6G0f1S87A638FyGijFzUFoTu8wz8YiO2rbt1i3s5QK4BdqVabXxtfAfyGWzPbZi2OvZX1XAQ kvTuDtxYs1I3TIObDw0YBcbeSvVA2XiTvPk0Q2g/DiZjVRWBHCcyV1YD7f4jO6ETf1r58VZH7Snh D38vq/Bs9BHStIJD398Hf2HsAaL2QOWnwog8RnbXzGCbU3ABfR8+VFxKsANYh6gzC/VooxCr4ONL dzijZgtHKCWP9ZaXRCfnH2Hos890TZtBxpAw9RTgasVDMgSnCx+7n9g4MNAf6NgLD3FdXulsbp9i ngbYsg7FtqIVNKLjqvzsV80gvqTdRplNXl7zYfgOuOCk5PngOvVtfmNw3Wa8bOqpiEf7YbzLUbFT /twNouErmyK/5LlkIWUjRczmkOuZAeD41S+y16vi12eWMAriA77BH2LQ22krdGrMtbKGhYLvuJHl jKAvqNNZso+nEJz1tsMWZJhFsrNhmj6xqTBDtHSXiICszUpalY1swbplDD8XXxs1fGbn+ODriKm8 csefi/32GuS2/JqCF5jhfaPvFzYBQw9SD0vrmnv6w9zJA/CcJ64O+AAmhtEQ/EMaijuKm8Tb+1E5 BPwsx2Pt0o7jT8sGWUstb3uerw6WHsKRzwgzh3xiHS5PgMJnLv5Ed0u7giKlfMTsLPTqe2GDPHUb mxcFGf2w1/H8EjolsTeNp0s5kK4hi7lXvbMflrNtPGpaNtTOQwB3FcrO0wxFd5kls7X1gmGl6EYi ekFCjZaBp5HdTe2LeyfueRSPI48mcsDKIJzqoY8X4Id0gIYQpRILbJhn18udOsIS4FBXxE622tpM okwIsREB++cfR3TVrA/x2mBtBM/arcmAyDRh31bjH/awYxImKLkp+PBMFD/ZkAY/6hj5OKOXZTbr 4Z3LvxiF9dtGC/AjI3aLs72ADMtpjpBsVpcAYxODYCj+wB9oF6JQFJiNG4vlZucX+UHtp/ERPegq SX0gB6hgOTWZ/SZOGP0hOwiS4yTUkWGXc0qNObGhrtACeJvoSPibesEtpTVlx5pWprMHAk9NJL2W yk6KaJFZJI6OQ2MxFl5jB8qFXUDhf2ZEC4DY2rq2YViVVOu+fwfNDUKsaNuwHNqdeYv5QJZe17Vp YwP66OXuTdWIiLZNKKvr70esTJIILjBzK27E3iEcsq5sTPCRVq6mMpscYKiN9sy7cBdP9M+BzYwj C1B1iz21mTnamnfUrs7z8ugyU7ZlcpiUqFYvQwBTj+H1IDAnSmI6EZvxXHC8TDoJvVjPw25cQ4DS eiuFw8VE1yYDMv8vL8Wj66cGZmdq0v72FfRel53rAnaUnXj3cKg5evZgTxSOf21gjVFf2FQ8THwW gnHOJvNr+Y43bxn/Ut3VQUanPtywx68/CqB/CFnLbQZOQujjzdS7yu/vclUfOzst8xc/sxEGCq56 ag9P21Rrnr4MpSEQUTgjThmyTMaLrhc4S+wO9w+ILrOniKzSipVs65NeEgMxEqN+S655cI7LIYBm Kmmlk4hpeW8Si1FnYFfhlQqojbXW7czvY2R9rOzAKPI7vfosW2lEIVs5YfreCsQZikMmSEYDlsLO B8M4bh2keQDk35MpvuAI2WDtXSfpov3raYWP7v6QtTX3rviMLN73YNGR2VgatVQ2426J9TO8WKd/ MJ1truS0pGaaOQJny9CQhg2D7jCqy1ok0rSFoHP0zAGbgeJJeQIunuWMhb7skhvpnRjc3xmJzhZQ L+rsi6QF57d8RWg5HxI+7ziI/sDsUxALZri53Jkm31niWpBy3XQTZbmDYDCjeLJeEJfDE2hnsxyb hgzfplQg7sGI/dwhAtb1TyAs8CkoUFJVMOkMTxMI4DREtkZ5bxkebsyY/5so5/87BHvC9UjAYtYK OWsVWyIK1gEQnQ/1rCbsukPcBuCke+Rf35B3usKJIGnKWvJGWzpBvy0GKNEGEdr1X9h4xggm57eZ pwCPZXMVZerjAheqCgnoEUS5dXGNfHrdruE43A9Rbn7oh/djdlNnHGwI0JTkUzJSZAwLMDyBs9kF 4XZAyKZuTtLB92IkgHQpWxyuJ2aMBi/vp4ARWf7ZnxiLGRCMKrNoDe9912zVg3WwL7uO3I7u9Hcy oPOqO7skERyUMfV0HKZqdI9fco9mv/NAe04QS1EbZB+nMdbQ4tYUW7zyjNvpWKk1jpQ3qN+0LQ8F zu7fZFGiNogKeq0UG2w6Unkw9khTV5K2wH+5C3AyIZjNN7h5qtiphfKuWRDgEXcXpRgnYGLhkmHT mMWEm9KzyJ11Lt6QNcZ8ATDB/6vQvBWYIbMdHG5nYx3xUoo+1+Ei4L7K5mNEFWGzJYszumpCS01B 1GmHDXxegBQFOugaLnFbxuqyBiTXAqzKGLTjkdwZBAyXvkGEgRyDGSznW7aEqxRXXLC8oxVfDRua qUGhMU7+UTXHgspNObXrfsrCx56rJFqJqkkLjTcUeJCKbsbiqfUcF+NA3ns88FYMJywjObzpcreF nCKZ8F+C9HHbV434JpdNHQaxjy118Dj6Tk4uT2OeAMNn5ZslxcHJPnfS/q9iT6RU0e0JNu3RC3gP SV1voLypCmdbXxcVCoyR/bwY5cg90eOxIlm/EahiDO7NBWtKlen/JUgkuePKnskFLWfhJBV1LrBB vI5b26QFMkpgFQkZI8P06w9GY5KzYQG8MYCEK6X+j8J3eSBMxUlHbIJKrxmfa1UwVIGiXTv4rae4 Zqyek5E1yN2m/HUIB5FzgsKlLFezXFFPQqg8K+cSO/1PJpon+l0bGQoNC6AaslcqZYzi0OBuE9nh wa/eMLxl+aw9i4wYPac44uzAwlXfEhBrJ29AhxlnAw514D9zhfcMNTzkMzwGmFqsHsm+akQU/TX9 Hesv8mlXRgJtStA1HztDXVbf2TbgF/ipPxsZYjWRSKbt4h79QjiauFTyJaitNhXxCBjqMXOn8mJq /xvuv9Xh25XWtAeZc9dgrPHbxB8kR/xMCMCRRWoTbswD7DjkETXKlLJPS4d/rlLCamYQ2O5B6zrc NYjDXyii3cL9p2xYkTtk9TGllY/hobQAsNEmbDucbK4ht26KwvsIbtEewIw0usdQ1+e2WrxHqLeX wx7crheVoRMVrcwpZ9Re0T1cUGOJASraAFSKIoz12hO1o4bE1k2UpbwR161RDVQj329fBrLGDsE1 wuckG9AaKemUrOiUvk6LDU0GA/VjOP8e6kjpL1iVtvp12w675U2h1MRx0HttSjwb/xWZ+ZiBl7SK T2laxZmom+E+ZJ+Pl//6UjPY5vdxaNxRARLGXrZJ0Cf5O95USs2mb6LIHkp+tH57t4XPTSGmAHcL F3Wm8ft2dgIEpAQ1lmXmBd/S7imLI+5z9GRTEVfp1z4RjixxmbEUCw/C3enRF4T54Ljtq9Wd2Pm2 ebSqt/MDPfZ4w8olVqoSPJRzM/MN5fT0VYrA2WSEFsJRRV2XeXAJoRasHOsjJ0rYDRCJGtT34Kh1 pZM0tyhxEw5bZEQfIQLcfd4ot7s2wYgmhTkd5SCy6Qo6qmuIqM1kYlRnJWsVUKxfS05yBvI6fGmT WlG09eyaugZi6cP8wa2XKt20YQIKZJpUfA2hLLBHcVckA5UGpkEkAZaJm9lxzLMlt2Bk4/av5ifY 5ahEUj1xqdv9m4FaiQD4jl8NZiWN5G7K73fODrO2hZ8+tBqMJA1Wd9D6m/ZBdoJwWunTxpd5dgw7 Lxwhp388Mwp/4YpHmKxboxrhM1IB0Y3oS9xOjM0QTk+/R6kauuTnF7BXcbUdRte1RNO7wNk5+j73 Zrv/MSRkFDNiehRWWruxJ3n9fGNy4AlSikM2i4eYTSbGx0isNR+46BO/H0dPbTOpi2vZuHq1S+Sj gf8G4fR44W+0JHo7CHsWRAres0aq4Pot3+04O+KWuHPnCOnGfpuZ+g9gUSoTNh+HaE134mK0evoe +xaZk6a5q70ANVkb03tscZQed9F/kS7pIC3uZIaUrVIugBKxPaLl/g07lKQX+VZhq4VVFYuMv9H3 NsigYPlKrLGVvtRe/DhjP1uhMAP+W/Rl+sLnY4il8sfcIzLpwEML+6w8W5W+Fben4vorsRETr1y0 /PsiMzeXRaT4sWg0b7ae92ksyedvMWRmG3zSK/XN+IK17zW8OcRLrgE5U19eYmMm76hlQhF4hefH qnaZpDxgaLptTV2Tn1i87mNY8yZiQbSWgmCFpb9sg761FdVXV4CyjW70yJ17dh3atgm1fTLX8T/C 3x1mAidZldPjydo8mkoFAfB9xNep5MQsZL9pfUMGircrL9G32V6t/JUB89ZFr6Tnyak7yZaUmcZX 9RHmGrnWhX2233YdwyoLOvQwTcXB2j5294h8jB3sh0eDYcwYf+tT2EqLG735U8RZ5vMsbQ1fbnM5 Qf16ceEgwwaUm69Xo+/qvDBQtbhLOmARdnLVtyw6AVieLKom/SxWi4t94p/1FOCDiCQ8dkZFBBBX P+mtqskZogS21CE0iPXD+o8X+kZ2mvxaSOj0R2e1wTvCMefI0XTM8KuxOi83ToN0LvVHYFwom9l0 Pvno1oGw14Xqqe9rg1ldMhVNgnD374iON8tpDCitlk9B+Q/DAxhj6Z3fJYYNzM+KMdt7vzp7S1Pa T8HyO0T1nFcqD2Mo0IUi8IZeHtDMOpQX9p9Z5zy9b8ysG7M430IxIYyH3IP0AKmUcMT1hGO6PvMj D/rgDMGMgNeRiMJj1rS0Jb83oh6tWK5jdgl3ONDECavcUGbKgFGZs7FeJjtT5l8J9TIpyEXJP8xI cM/D6CsLXZHjUWW/bO8V3UzZNh7J2ycr3d++gcHgaZkQp7/d/jRgBNYEYMgiXKUxW/FgURxz3m3s uA2hRXGlyUEepBTwfpOggwrIk+oeLAsF6gb9kV/9Ej0S45poxcrafl0LOQo4ldbS1SQnSjPwkPr/ hyyy1VHogBxLzh/HH8JtANO/IrCBOBIaxgRLnmJvj+T5Y456tG2gGunfEPYtrHvMmLecKU6l+BvD /Mt8GCyfZlZ3TVQl7hruWpVMmj1LS1TqED//xoXLN4LgE+RibicGDY2ala7uukQ8uf9rLKVDwqNl LWCm6vaZbsgCWBQlHZ5Mtp24JD1lslN4WcFUe5Zfcf5KZdG1eHXX0pO4FSqwdDC1ogtiqCYg1FmT 6FFB/isjeLmLKoA0d0DtmUcLIVK56nc68KG5cP6NmxVNLie7rmJHdZYUyNDpD7h3Wik6SIhVLpzM rW9PHOG7uNvVaAmGn08A0cyWUR9MC3IYFfGpAB3iBs1H5RxnjybzRFzLgYEooS7kKSiaIHM63nXO /VmuM29FuIxjkLziuzJ38ytmHGYUtpcgcB8piXCg9e8L1f6EnlQF/AFnk/BKB+GWTcB50ex9p9hg jcR4IgyiBRKksZ8lMMjDTsLgDtpRRbUUluRce40BR+O1Tl+3bD2k6l9luvtDl7ne4fj8Cib1Zy6y +mWDcauIgMGyryvsDp7QftIYLoyg9Pa8MNjE/cZFaUsAhf1oOe2apSQGeuYzVv7jnmq8wN0xks+P iCh43IPQiy2yVhMOFiN/8UngZKJA7Cey3TGrCbjm3JNjTWMmp2GlitZyHoIXYBDPlOplbGIYtbpX c9g02gVMNu+vSd8/vLcwUsXgZJXfctZFl0/GXM7BWTMAQi6HsWYHbl0ESnlU66Fh4MS8F4zDlgf3 x1CKCRH0jVuO8TrVfIs+GSr/cFe4ehLVeA9v+lCPorOGGqgOmn953P57K+rUXLipUC5zKEyzGTIJ A2qGiEj859pAkvsvih9vvIdLlb7btLyErz2scLql7EBRsdMj97k53J4GD2AhnohBmjM167WtvTjH reRQTaAeTaBKzHn16e9Hd6C4bgYMNBSsDIRnyy+gmGj5LvQ9Vs5pfxHdqYLRospcmmZiDWYWAx3u IHqyMbZQnF6vADydrKjnHag9HLwOWan96ckUT/B5UN587RjTxKWj3/3H0hU3DJuPxGo2jpmNSbla T0U/Tu3VEhGH236lHgEy5MhBnGu9zePfQz6tLCXTYxMpLNxQNaXRge47g6RisGoQVzISqi6CWUtf T9cX6Cbxr0C1tDb0XgQI0he5xWOCJLr2WIpWeIlQr9C1l4lGAGjBTo969la+vX1hoG1M3Y+yG3Nt Q90+kWnlqNS57ylswwvR/E8S6x8d6DuGJ+Gu6doxPWNtRHiD+xkb+Rx1rXfmY4VUzVI/j7I5PMmE /4p53SAq9Ibej7nJ0nntJQeXHhpQ2CO+kIiVRWKQH8BfujsXVJw1vsis4GPKOsjl2caxL6L4Fnct DYox4OwF7n/FWAzJf6D378yX7M9Mptf5sVRdRezljp2rC6vdD+DD9fpNYQTk3AR/ZmtwCPqrX2qZ auRMCb9vn6qHh+KBWxTWMl19Fxs3yrrOjZgfKHDPXrEuRTty9N+vz3T31z028KaYKgEyXbvm3KsI joGA43Se6gBdx3BOawFhMlAbi6myV29yJPiu27Ohvl3FwYhE8+nXKGbRBRQ9BejaGOsy2yOdbmI2 y/zUFcrTqpYfdqguhpZFcue0Geb8rkmBt0GR9jwrG7OuFpHNcMdhbM6oZBSltjDgKey/izm7PxOQ uw3hauEvWgujfsXGPrLLJD/S+FPfsus4V/b9MybiJ7sEVRCO/yN1ERhCGAgNpaIXkTh+l2s8JGjc ltJ2FS3+gn+dAfD++EZI1sWheOO1QxRaxacNy8n1exhi5EW9OGyua5Qd+wjJ37G6ym09Bs7M0Gkc qf+6dkI3J+Cm2ET26I6Jb0JDZ6msFz7Wpj7FxLgtGk1EqgRbxeGuTNWK2BVhvT+a/ER5n7rzD8Lh YlsGnwIhPicgjw3wb5TwRjAcYtE/m61RzqwdglHPl9hQqln0FrhA3Z8MtJm/yJgRPXpKNogZzBrf qgiJrfpS9WU5FUu21ImpdUwDY/EQvkaqEoQwU3zav5Ii5+FHGsrKf/k4EMiNwjHanM+Koqs4XJdg pYIXJcAkkb3ytcitxz/oXsm2sdI1Hh5GImcB9AWeL3wpEyec+rnKXu+SoSdbmFjcrZoam7BM5A/t LUAn59fQSCbHWdZkuxfiv15FL1j3HbrXq4UaKo80SLfMwZ2AVaTdnoucGzZgtYH1hfK4gC7B8vOM Awp8r9CCCSJJNYMfiiWwf6pctKb47WDEM/YdvvrLDsp6WcFRWVzWywPssBgb38LBZ7TKSFF+gAWg KpPZLfS+rqfO1wWoRDYQVmwsNzegmDV+F3nmmbyo06L9SfwGzzKa5gqSbeR+dqmkeny3Ea7ONIQS rhf6fI7GFeUDsECB/01muurqmjKUzTJE7MqYUvIlTP92GAoe2eEoLfUxTerBXgOdZACZSOlqCY90 FIjW7VaIJ42DLBp+Pipoem7kn2K4b2+MqzvyDBO9ECKZr+mHAnSKnhd9iP7wqFs+XDI0N65DvO6q zS2zJSyYo8pgwURHtTphIcA/T2Pig7DStSNp23T+eeEUuxNVwjZ2yVjennwyY45or0znWqDKuFn0 4B0SWwjoaphV6l972cMLF0VYNFNS25aglkg2UpBvoLCmonCsH0CZYX8NREfiXpRvjnJyYDYJ5Cvk Vc8cVgPJfYfSKt1uUQqkupeS+59XHjxKMsWKXShju6HsGY9MD9iobyibs0eOs21ZjYGhgrVcIiKd +1siYCYe64tzsf+WMbj3Ssb5HkgJhLmK1BRflXT/MpEr70qSVisN9xiuq72iQGgoURKK/ez8RvEw /8uSqcjq6I6SDT4doVo50ixvy45Fo7ix3Ng4Jt4xZ4GC2L+nOkaTGuYE8JOrhH88LuLFWUTH6ZV3 2/YWg/7dW3U+zyeWnUoQYa964d2URB7yHeURQMrwTUlFWILfJmjA+gaQO+aBelBguayh0bYpZkac lKiVrP8ENvyXmFkzWHavfkOY5MeAxrBuFZMFGCduyudU7eRCYJAMVMonTfv8/hhy7dO8OXeb5uLn I6XnjVNHHAOGvG6ZoguJQdkVMAM2+wkzs6bzxMXQtLYgl679imVNhQTdSvtBEkuLi0X3RGkU6vVz UDe/rvLzkcivwmf+zl6pn/HZRfhzLc+xyJzjq7C35Inwwv45hI6+9+da9YYqbGKAnPdNZDo8Kvfu XEJOI6wBY6tYwmGiPi7xtfr+Lx/cW1jAT778HYv3RnMwymQSUg6/UjNrDYHTJPUN6S/48Nr7DQ+G sT6Fdn9+PvaTUw9PVctZzgDCJ3Caw/+IGicMqXj3tiT3TsXTtw32m5W/KIGTFV0msyOk0q0Tb/uL oizo0ar6FCro9WLbDR7WiiP+6eBxiV/4gLFGp8EpAACPpEKjUvx80Tph4ggxq+2gao5b8tAz2tIj kVIjUTByKLcGY6tTYSTz+9GxPSkZQ0lzwDNXZdlr9+aLALnSO3vO2DiHqfjZMSyESlfXBG1uMNnv RJmq3L/adjxxPM2ktRnStqpQqR7eLwIRgIclOplImXrCMlw23CXgpvjI4ixsKEkQhF5WjWg1b4Yv JOjQWZWPpPFz5+ZWxsj7atM9CWrGPnWVYWjEj1OWQMFJo5EBD9r1Olk4gVdKlemQM6aWxX5H4iBp cZJbg4j4hhUZVgakUfbvaXozVUey5zI5AOu+4Ual17P251VOeWr+HOZkNrJUyISExJQor2pbbF+v jKAUtp7LGHH7sdLaZ76+1FKiN4J71vms1RXXIa0Uhp+fh2H7xO519cSoh16Qi0biWqw1sd+O1p14 qB7d7bNcbG3TeGdAs0mxv3dDrECtuFhEQQwZV/svB9ja5WQEcRaQRgd//fDIvuplEFVGCvt4XUdV 55kaGybsZPAo39TKsyuFQSYh4wckFQ+HvgRWVunzbRUovudQ1icQ5WahMEXcReLGCpJkwFK5nSxT YMiPzHe8CGGMFwKbx9R3fw/x4sj63kyXA5CKUDyIgEZj1U0aCch8URThaTqG47Abs5T2VH2jd6vM Bgkin242caPGOVn5Sbas+oHkrrelzb8qpd3gyYQL3Y0pXVABHZNCTouBMZHCXqlwhQiNUDDzfzCt d35sMuR3xUrB8sms6gu0OfvWxOWiJyiVnjQXWnNxpmeClmJwikqRWqS/TgxQ6FW5PMc4xU02lyp5 bn8d0cwU0tJP1jKgiFNdcFAEyWvP9n1Us+hd7Ybj1m/x7HIFq1Wr6Jo5YGOkFeqjE02+43uI5X0B 4sfMpc2lNmIUHabCTT4V4JP5qOjcvn96+SFHmFI0odN22GumPpObnd7XE7MXBbjC/zrquG/5XE02 FdrPcU12TVqSLNfTYthc8MHNbwW7rsTzBfQ70PbTwt87KG4yQAVpblZh87zBMfFl3pXIC+CAS+jq ZpPxGsvM/wpv91bJMm22cczLeySoFduRZYkU0FqmeO1cSXiyw0olB4xeolEu/H/oJw0JQJFxWbBe j1CB/lnREmtqMCmJGGO0dF8WLQGv67D4PRPS0K0cKEBblmrAX/3kP8v2S9os/fryKzgegWJRrNXg LRcja0ejeltH20dVUnKGrp0mSwrG4iSacGpv/TBvSydb0Hz9aEAze42rmE+DabNVf2YSqdrNOC+z TW0SexCtb3YQi/DGwPcbb/M+hDxZBy8iVPw0h5yp+DNZXOF4rahal6qAbIT/varWnKBpyDLmSUOo 7euiGNeKRdJwVxW1wE3jCV0gguRDM2z5T8Ck13UIpY9ebuIJHnS9TII3lRVCsMnkhKhwhStFVRb0 wlqK7xIodzOj1Ln5M3ExW/CutZhD4863p7xQSb6ejUyyo73HJei8M/7sbpspLNCPlF+NbM9VKl9d DvXDApHCJrSszKP57sQ0C/QwYk3kNuIH6CqVDzV+R7ZagRJoW6k/NczzlF03sGzON2Y7AAGISx9k bIM2byWgXFBEqaw1dWc0VnezKJk/gKlgm14Ghl/QqUTTWNVsKoIzY9IWz4JC1SWRo27+Agw/s0EC uyZirqZdIX/Fy2QnXSyP181cy4DcdpoUaZPT3ZAvre+UFfXq47W2OAKB6NP/+d0qc0YAbAvF80ty VNXGLskHkgzqWu9gldVznP67s3P2ZrRBlZvDstJEdYZmS63gxexEp15qQIR2Lt/lp0XmHluiuXhw IVtcZ3W6npgj/30DfCyl9ICMFXxaNkAgRURvkI1c5dL2m2TrCbXP3fCGwPtpnpLweFBoctDVjWjf 8UXT3/fzmUXmP6tYrj2GruvqE3Xkc/ZKMlOuxpz3izI4ntuZ+w/aeb9xefLnYCIPmac+RrahEYxr Cpgt8ZADySKtNbL3w63wtQMkoPH8n9p9w9LHXtsVgScfeYbd05rI+bGfI5HBVt3r9AcPDsn7TR03 o0vHgRpsVfurmrbUqreJz3Yxv92om14imm+Ck2s9KWdqdtq3wUB5QMV7v4G89JW2reDeODwJX/En qOMgZygCf+YPgqneofpb+EgpO9yFs0chLW56WG4DN5vIT551ToVwlq+GYvUiCgVG8BxxnyIGLeYb UJmv8JE1bsUpHa9JYBN0o/K2f6RfDf/A3t++h9ayGZqzPbJMOqYrwajsH+N4FEp5+xeA7ZhzpHxA 6GQ0eukrx4K+NDffWaLfgN/x1E23B9Fs3+80TzaTCaE8bdH8qNXmgF7pH6wlPsC1zJVAoiYgJY+f r9Qs8Wro4UdzanwqzXpnHeoDhiBUXQtt1rbLu1DU50KMIxcnCY4gyyd3YInSABXZSkuR7s5xXxLT NQb/Orl2rdqFw6sj6iGqf1SSsFWrciZ3wqo4POZ9Ku1BFjvDTQK8C5C7pFpTjVeffXV9K398D4qi AzVGHYYIaiwgRaN5B4JyxjJL5iEMshhlYbwQPobQfeZGxHKvGPpBYBQNTBSgl1JW0yY4n4ZyH2Dp IfTIpovz3fcbiD8G/oEfFti9l/IPhVYVyHyf2mi+js3PAgtpU3okrXRh+aAZcVzhDrB3soHIqofz RKd708AyKA0h8nkrs7sExuJa3HeJwyMiasKKyVR3KC74AWoIurR2xaZWIV9cArpgpz3ypeonznFJ 7dIMTh6uCHu7PJUod/3tpLnVPdyPmUbvmWSoHB2jsp15QwyAGzN2V4/tq1OScgBM+q0jQIUlCUPf v2DnkstYaaB8sYzhimkbrWj/vGNEqcT/bziFQkLpoDViIG3McObTyfQhADNecST95oCfh3OoZfND aqDJQEU44tXXT+nhh2bnEMAN6QThgk65ea+0CnHX29a47G2klr+aMCOJI1SZAJqC2tPmI4r8baxW IzSTaIPcw+7rvTE76WkEcT9GvS6umzMkvGz/O/dMTyNhgax7zT6CPxHv1WmfZ1uqu3OlpWKKXQjh /TKNf7JZI9CcT/3CZvtAHszccjWYUNAtAIk7e1h4KjvXml5b0KZ4nYBG7dvmyGXzWeGioJpafhFv nyNUNct17obgr+0WfUTaJZwf75zB/TPvmZeAfPYfdqiqe2fyAzKB7PFORUVOEjlAAtvdIoY4xQfj c8do3coGU56HRXLIYZxqalBdOtjcM90pSQKKUnd/l41hc34hZb01Wqx3qT7M7HDVdEOaiZ5jPH3t LPISS6IeChLGLDU5ai8/excLfho7p1BoyqPe2mOCQkHZAIhdsbEsM1aQ4FOsG2m0Z0+N96TBQhMK I8klTiF3Lx3n8BAumIY3gK9He9ZJ2ukZHDxpU1BFAktqaKkQ95vTwCVIFQ/3lmhTBAoVo0c7+98d IVfAe/G927xUk5lUO7k3NIDG65OcKUf7rWH0ySk2ciGe3z0Qh9UNwmKBSo6J9Uv4Olt7/UZKjEKF xxdPwkSd7OWWtdPuUBG2TesPOkZLTcHzq+tEYtkAzhPm6dtD0Rd8M7ZwIgUFHeDIV9jXKES2QL8B HoGYVzmSKVB7TDvjCLkB5si0jg76u1NCZAmqnsOIikJ6W/2K+jTBbDPDlAemScr91e0FdWNrE88N XXProJkmRFczXAq9TKyrHn2coA0f8ykktT5NbbINtL9gfCKr8qrFvIzp9JiqWGICsMxbU5ZLQbzy ZcGlJ/pVXkHrc7w/sfdjjMb/v8N77gw2b9z0liCzQATM4eFy5WMOHEINJ/lxFb9B5b21b+bHTcDd mTN+cJqyDBEJ8Hx7lcY6SPnPRC6Tp+e2+esnIS7P5ZzTEKj6GyGv91g6L5k0T71hgDi8bKxs8/e8 kDb5DA5/Yn9XwmjSut6lsb+TIcZY4uOf+ZeCRo1OmA9i+ApJKKtHxLISKUe8ld0Q6YHGiYS65Gnd 26nRBy8bZCUB3Bok5PCyffiX4XmTW15kHijn6rJfdtJsnRAG4kVuKbzlvwrDqxxX2Hv1U3ENqDN+ UI3z8IfQcOoDhP8V5FNe+8c1eGUMVmqpQu3S9Ruk9WQG/itC3hSotXYw8kpAl6NU/adfKau3IGE2 tSy5xram8yz5fj7w1nMhBxtPrcvls2WrQViQF7FVRZYXBuG2LG2Hza5jFuB7ndyOc/0+Acuu1mMc pzBHSEHDcVRuKkBpAHqX9U1fbG1xfCvOxmjv3up/PfJgagrU4IogIG/UZOy1SugLYsC1QU25DXsz S9LctWGKFoAjsTx0S6hUUgM6ibs+ocVIBmA/Q1plUGdJ0vwkQbd5ZknwyUk/Sdqy/W/CfaBvEpI3 Oa13EP4vNnLYMRSd7kWB20dExdTsDv6YSIOAv93YE7ND/Obxb/G7CXCedGL77SG9oIbYhDc7ZZI3 yK0gZFKD/jUwqtb91eFGuyRdHxmrAgfnc9hJcrq23XYXYLHrYs858XCPY9JytrBhtIDoCELZJPjE KddEW5O/0IdAg03qOQdDmixr1m9fqwaNrWTJfgHg6Dxj9fMl38z5lXvZZiG5ieGctKwO8yfLXshG JIUO5mlHhfqWPE6qTeUfHX5og0Il4AUnKqnXZqTXxO2BCLcSmzbde2wdy1HgzCIG5i2mPeOoTTX6 OEazzj+SNsMBozyWzZIbPa6yYdX8tSxq0aNlKtzPreFzDQLmcnE0vTaDVHLl12OM99w8QZQvrWlx i7NJjilsQ+/GXsAYBDrYudmowhbxRwmqUJv3ZVFrNy3uZNev+YsJ2foTdbOLtBidtV1ylD+gRKwp KZeh90LZSOLIWrKzhoBv+djZeBoqfXw4L8WldqnfYbfXNBncuyK95us+RcTIStAqLQD8y6aTjS9n cSK/GCEm9ERnVFE7e5Hp02ZrKRP1o1ODwZTaKXDHkrmIbkUiVRqpasZ4m03TeiMsv+uGo0IZTffy P9S0Ft2cG4UdqKkJrUc33kECd3M7iqAspWENj3Pu+xaZw83w48vrUp0SqcDHC3BhhJHKHXisCg2o 1fka483CM68lTTJhrvp+0KrkPz07Xyn5TfZ1MFQOTJSa1wtdKariv67Bxx48mxTd0/c3cdEAiv1Z hsIXT8hiqZGLtQYkVFJEJ9SZnFXB+esw0sUeqThpPlTCuoZ7x6xG4b+54MWvR+tz0wU3168DxVJR i2Wv2KhO70sJutDKKSESsEYd9p/uVYM+kbN5LrNBs4alw0EEGLf9EBlXUKiQUYcxQbiJDywhABwY 4i4Az0Ch0qIyAJzIyRhl7Wb//i/v9vq+ZF3FOaFZMToKDF+5ibi3akIiwHGCk+eDrTA7HKf1sOew eFnwETwZjkLbVkw8grgkfeJ7ghUzmiP+9D3S0cLT8Z7sFzoZ3E19ueoyxO+k0XKKfeSrYru/vL8b Dpq6YiE6wR3VwjX7YQUipNnxOrrUGH/SIqV0AiWjN2CcASpk1CgCJXQ4nv0gGRgN6Cq+P/CFGWqi T7a4Tzy6VNJjA4VrbdnvkdzpEm1eLaEZf+hOtMLxZ5VtQ/e0USdpu4kDg0NQx9H6SvKAQ7Hz2ati l4yBLuN8ZKyx6JHWbvOHaUPu3dWLyUck0pNIBGCTJpjmTLzvDchIJQMYmLPYk0TCa146pAsKeqdz O5RW+6QNK4GruM7PxNF89/BjfqhPwsGA4g9qyPRlKQVq8h0UtEtkJ6nZ7l0iMzGbY7hl209bMyYY 0UMZcvbqLUXO/QfIPBMQZgJ7zgeTRpUN/EW3IfdH8xPDoIoip5wTfbinjjc2D79LCimZUZexUxzp t4+1m11Qb2snQES4vp0MT0Up5avjURQd+JTUetBOxcfR2/O1WVNr3SPGBkAoN19hWwtfPvsScZKE K66JtwCoLNzVLYNkSw0AVzPuEV/VSCRouMCawRaRv1o1ELRPEOnUKbODqWXVe3PJR0NAm02VDbr3 lfCO20W+AGSVpbCRkjSqF1aEyhT4UkOV4YOC4vu4gG5FHf/fvnCokkPndBQFbeC0G3zSvfdnJXXq Z0+3X/pSplP7DgtgUEXKly/cKpQDmLSakzJmcKqa0rk3NKKJRnTwKvXYLcDHTnFXpJvqMcgPwLWK gf6g5U/gGvD+n5tLl/7vN1ksa1i9i1r0oC46afq9c40Idi2GfYVXFSUkEFXeoXibclSmaTo2+KON w24RMVvZQWzSj0tKTpARSFyl9WZhO4nmMGfwGEokPyQ2HdxvNz9+u0iZ+TQm6KXvBZYX379iO6uu X6lCzvm1XWVJBAkdGaEyqRdsfE9aU9LtYJ7FNoNe55tOIVkSA+MG5OV84mljR3DhnyzVfVxK3sAZ 3ytMnvct4r/nzNYgnBDS9ZvjTcZCwS0v58Cj82j9l69lynnANN3s1ZOVijBuCsBbFJaeiuFYLgzb Fn2RY4PzThYHFtrr1bAs2JWacfwo6BENNSnetlCwK3zFuRSuQ+tIWBtMaHyoL7OHRhJpQTQcgLhS VLSE315NRT5rUfbzYxz7lsYfStDg7cEYeMUHRYVXgcrUnAKmRD8wqMc4SoVdf7NaYsf7ReAs45wP EBcjXmFZwHTeTX9VJofJXVF6tw7EDE4DrAsWY7bJeTl9lC1Wiq5nwuULORyBZmhzst1hR8f3NdIK KPbV5bP22lCNyLpJwMJ0g9LBYkV+B5tJLFfFHJOAKQu72Y4JdUld1ACnXbtCew2RNhEOIEG1wk/b Xihv6/yM0JpqtPBIhIcWkG92fzSS1Ki+BZcVfwEV3jbsgYT0FsMYutfcpRa5KC6l49ZKUuyt9uOj Y1wt53Y+2XNNpDW1MUU0CkajXL87ibM8twV0gw0pbpPWPX6o949jsfaANaqp9RapWOOH+uZMFIqm dk+X3Pv/eJUKW9nKbWZcM2ZDzVAYOvR7h0slMQZ8wWyh+KRnmtl/dJva/60Xx4g6GZwC1Esds+78 o0X/bmxD/peCzQUO5hJpH60bNh4UnDhFjOyruRfcwqDKwBEo+RFItMaUjsmvS79UpqXFtF9jX8mA H7bnVnws716Hgjzv3KlfXnuMF8z9ftkVEFOBBQ/n01CMtebNxgyfVt0QGnxtcqt++A59LbuSvA4h gft2lbIQr1XdIlyX8J7PAt3Trt5YVqyR5VZFwKI4VZJydsTI8EKUQlm+EeD64+7usRfDV9OQqOAI NKktIKQp41paeeucY6DgtYxjWqPXBGqNulTeJ+hKPcBXeH4BHmLRWzJQJFVu+WKjUOADmIeTKDRK NIF+Os7JLBSCahs7eOx8nqtFuPwVr9tcHRl/7lJZfRjJ00RAp/5xVl0Zm6MnW6e4hsIC24BuVrac vi2nq0uSMsDzYim93Hm7eRjtQ1hBOkGqJyDfYuR0SoegZ/IT9Cgc5u2y+OvQ+GAQG4d3SQ3UAYRH GO7B5iIJ9U2UTjENheaNw9pUeAhsoqeoGr8mkrtDpB50+IwDk8tJWD0tjR51CA5SYenP4KIEbBw5 /q6t8O87U6r6CFPpndHSZWgTgTQxITATlchqtW1sk5083qvzuWk2+Pc/Y1pFk6kgZgKZkosviYV4 EydB3avXdLZih0A3MKl8co9tswWRzFar4iI+2gfroP7kAd0wtVkgaO6ZYTq/k/vxBThDoyTeXvEy KHP+yrf8UC2uqJZGtgNHWh4H+rk1+B7RgDnXIDAqe2Btrr+MtfJTKYaUzzUwf24Zta1Ep5wBo688 /KRMVfGijGYzlEL9dxd3Ffn4xCHeFK4mgOrf+1d9NzjXU8ii+9nEvV5ptYt+nH+Ean0YrKrwWbVs K8Zqp90SKDkXFUyo39fOPLtCMjQUovgg4Fl9lFe91vL2PYRbESyEKCsbPQr85izkI3LcVSQlbOP2 Yd2cgpAKkHtapQzxsQYVeX4VmRgxWTOsd1HWVhZcGxWUDiAppKaOa2uhqujdXx6qaaf7VkArJXp7 PTR+kTXgoHVohTGTYXKfMFh/hcTLsPVCv6G24PrtobdtDxC915myjibalPjepQN27J5pGJrWx5SC Cz0XUWV/+IbAnCZ9/ve5epvzm3OSm6x6Xh9I8P/gfoV+YexyskxW8ij6R3JSQMe7vTC+cWjkL0ez f9IBguX52W60GmyHW0m6JGtPrNHxZvjmj5LMH8ECB8SXaCnX2c1lIiytW8HOH+Qvkw1+XjDcbZk3 gHz+ccxeLuWMMck09b5ndg+SluVQvZKC37r2H2sdomOTakf27uk1fj4cu47lSe6tL+2L2NwXvYMS ial6ORZRIf6K5LZpLNlQBkxw8/XOvW8K7K3MHZPFxMaBPUcUXNyv+PRIQYnpJguQo4YNaCG2ZJJu 5Y/6WVmyG5SsJqYxdZZSfBT6aQA+KhNj6QhGjs+baJMxaG3hQi0qp2QLxFpkMxigAXjZPGj1bPPN 0z5SmUJ/eEnN/w86Hk2BOYrjApmsvXIXD3SMCPDb4w+sG7cX5bmKl/OuSZ1m3kFrbAe6emsdgzi5 8BSKkslG4Cx2dE9yucdsu5LQ/L2VytzmR4SpXhMaqs628mrTStTLgmg9ZXxpKPiGt05m0MvUHD6l M8GByw5b4L3aEScaxykXkH77o0lWSXhCNe+srLNEIDo1MzvKWo0iJnt0k2ZyegG07C4RcDQVZueg vyfvg7o8I/df7KiiAInIMmTH9Rfz5Z7mGxz1pQYDt2R9tWUX6IWeS1BKJa/biqtO/B+Q5NEw1+G5 JkeKnZKiYwrQqr2M/a4HuOUFE1o0F+UawwEBY5u7oRpjc+GP8RR5nuh0EgqxkUkt5TFrH2a11dNt p7eXbcucNP16/MzeqJE3EtwaaN0ebfgbBOVC9Fd/UFYD6BzyuILA8I6zaYm8BOLx0J/l25DZPojn ptIEFnvT7aIqfLnl9YV5BXOftLkX5l+Vk8dKiKro+kBJfzgMaVt8o09wSYVP+FWrKnlJP7tkO9HF 3E3+DM0+l3ae/kTsBhG5+74c9sbLaoAGRFBcLzkd9niiaKMC8PBIjhDa852oqJ1oqPhfrHjWbmHj yvHS+N8lZOnwQeKpz2N85pjekIJKuimK5yuZifZ6N4uMTE1DmdAVpGXo779hrXM/OjGLqlt7VRBr caiy9WSwNziveXC+cYwqXknTXuxg3TAcNDdIujc8KgODoW2iDkdBEwMY705Omhk1C34zu21xNH5u +ICxoz3lBvaxu1lelADkXWApYVAaW0AnLGE1SO98w7zu1+jt8I4V+MGS1bYAzvtfCpMTvy3QWgwK pYw+j4VJuo64R0c7W0nZ1zZHXjNbmUAoSJaU1XaFZ5D83XDQj/GkdKhOhupWY72gA7uUU0XAyiFs /rsoyB8Mbh6qziieCMbpskJD16pRgkz336eQbCM57hU/DPVmBEpy57a5f9gOtDUVt+1G3YOI9OLG a/w80hSKe1kX5tTn1Ci8Yjc0kVeEPKEUhzWFJXyB4Xkknw4V6QhOguylt449DBy8GsQOBdnEa5kx aqsR/Rd6Ps6MkcCzieKYtCHZfFIRPDa7FfhhGzFzO86kV4Pg5D2nMpgKPnfw9XPYc3+qtHhCRwDt FWa83N9D/3wkuIx6Vd2MFkbVinX7Km9Vry0MbQVBG7EEh/SE+mZBIrHw54EautQLYzt2u1edHtzm 3P5wgjq8UktmjkH7bSTUbcAKIGnQnSmjB62dJ5CMiUVdK+vLP2FwE6T02K+jxn2Ble8O3j1EYNut kxGH79oGWGwTsPEbLlaVp6RcnEaF8VCtc7sV8n6gkqj40D1l3yvQ3+e6vgNBY+z7HykJWh5pf2Pm NXwontNyjsQAe6AK/6qW4QubyVhcw970AsIDAfPKQmwyM9ME1hRBSimRQVZAAgWbMnziIjQE9pgF JcpVZEBNoS+4DFKnhdvvzDIcZ+7qsIhxL57sYx5wYsNrzs+2KJ4U0Ly3aao20pJiZjbe1HMS2S62 seufXTE5PHLpFrfhaIjfLDCZmQluC4WoT8nv+RhtM+cYZl94rFmGwD8KD/5m2jyR7CzvXWrHFb4N GGOjUlQiwdlwjEpxpHv3owfg9qIsKe2m1DLHYWDsY0Pz6qKZM9VXz3j+G34i9vhRSQ1Imeuulx2y k0Nr93A3SPQwW7HQJuJmmYFuq+k7BYF6U9EqfS+AfgjV4vnwyzxaVHBsWUkf8eIKa1NfuDtO5WzA H2qOQn9PPar0D0slGsQW2wL8lvwJbPtgrSYDu8gzR2g88W6cf27IaGZ4j7Sohz7hktaf8DmitWdi GvDcwhV/H48CxBsbe1do1+mlfZMRCAVZ/W0LuM/zIdW2s9hEJTumaDK878PWA7PtEJCySsfNpsPA G9Qo+ykd38gmKHvjmDzLvYuLGhhF9h6Br04BgunbnICpoR3h8FfV7sjmUNd85Uq2dHDMVOLYnY38 JNAt5qLWsKPJSLsvunXgXSSTaeZxRy9ybZK2+f6Foyt20YnNbSS0tDL5TMQG9PLeN+jrwzIt2P5u aNlSB3u0WSDuu+Sr42prnvJGTsoiekzHZcWpcvj1fngfml6kyMPwFkKrEX583ACIRq1CAPVzKB/r fq3euPa6qKCiE4hpZIqZ8Q86OHn6gUTOM5opwsJY+Xz7p8XFOvisNFpH14EtMqigLcb2XPFIRrgG op0wudIr4NYFf+ciGSfQ9dmE9O9OenTL+5CdqlgtnSkylZwMXgAiTjAoRI9Moe1UaAlcBqMeJ+bW swIiOe62LAPUs2Mze9M/oNDin5vVM7tfB9EPTOGB2r8fbGihqDwmSGx6HmYciHJVrQSvcSrVv8Sh smjk+HIvpSlOTYBDuOz7jKtrsKAseoK0evezMxXzLUR9hDjpyj7pbGMKzmZsyZW82flaNn6yuUPJ rjhK/lzIFFpxsJmZ3Ro5W1qPNDai8zOLi6S54P7zNu8fT07rX8gYNTrxvlMiPPNi8MYjlc2NH1WL ZUrcKGAUa229OVaFrxdoEYLPNTGJP4kBUDAU9UlK23HYAvjCFTRrBhV8i3rBgEyVMqeNrRz4Go+Z Y35EOs93lCEddkvv47yeNbsuMfRptk1JrqQj5QIqB6ZQGAr814HfbNrHxIfkyQxwPPTVg7g2bzwO b6NAlA9Vj2RKRBMpOoftGsHYaGEgaHaeMhxYbqvYxdbyLCHRp66unSgnMr9EjXoQTk+/6CxpnU62 w1B4Aj5FKoNyKZtMOHHYlOw98Hc4kZewzffZByPj/YHa9BDoN3WTtZ9Kk9vqraDvSdos3+6pdJw3 gmTWlQP+mAR1D8sX653Ikw/P59hL2F7Z+eGODSHODM98oh0nJ4vyVyB3x7x5uGSe++SEvrUx/iBv uv89tCtTAQu5jJdvdW8OjbSCJ+UuRYO4jJr0/IJORORI+fZbdIFpZMQF2QNmUD3ekv3dvEuNVhIk 5unHc/JKnUK/YfFKjNMCrqcptzcdA9ApVgri1ZzBUtIe31YzFmgJjbZlhvqur0vjXFDetF8pvjrr lygQe3fDbZyv9gPMEyb02mP1APPLX3jN2TrRABwrQLS8LaSqkSEbvqpwZ5L834hUdlZjuLHyeV4g XoSFDKcUATQkrNF/mpFx6/J2T4ZNlhybFxNBT3V19CK3RTqixpHq498lz3RzsmR8s8CH7ud+WsP4 TyjWWLM1k2cJIvjV9hEZ4ZPPhDhZhRMYVSlTecqQB9CSkB/BDtuOVZfIgtSZqKxqD4dlNOF15rA+ TmVuEm+JF6fTDr0zs/vbgZw2i8ozkygHY1NfYJCPrmMmvyowbqO5ckEY6e5BGyStI7Z1AUSPm23e b+trs8JUy0pU9NwS4J0Mcz1HyouV0foNQqYYRTh6fBwSodBcS9alMNm9z1mthAuXlBxUFhY8qbfF mAGoO6ZJsRelBTjkvZ2HexkpYbNtnsc18FZhLILIQlHIVPCAIGrU28mXa4TRAjlCUW1Y2GtmyXcI AYswHZD8BCraokKJyxEGKYPMbOBASmKFAR5q2YNOgKMCPRCztiLBJqba0RcdRjTk1g2MvaiXtbEx uqCD2qBuqKUV/hO2mtsu4RAUlW1Uc5nif3L/M+YVGqJtVWp63/861URe7M/Azqr5ZhMFZrRq+jmY uLwfIhdsfrdIpHixqIanPxPeEr8zU75H96APtrUcjmRoWzyAJGeMoDaWA0yKupfrdBrKHfojKJu9 Z1D63+vjBvl/Lh4P9QpLh2ucoewqN5eai7H02GlTMK31jMWOHewFIUccqcMI5Y+qsFPsAOkTCofl DKIC1RhT0PdChge4eM2y0Hqp5eoxMN1USw91zczn2a1gxtX1WTOb1Lh8Eu0KBab+vXLvRtfBX4ix 1dvaPf2ZijO5TybpdufRurxSbOtnBP7jv/sjFKzLwRa+4sgxBavWDCwAWmTNOuGNVTtEwFqX/dCm Wk7t0AUtcAp5gj+BXyWKvcSMcb+RA0tpHLY56QzxWxOmyT5URsbjj4K3uIqsuqbUH8jW+HOXRPAL NqqKpvG/LBW8bAEWg9jsONwEk23v8S5q4e/ITYgZl5/leRY8OvJEAvxnCBL95ehioVGdcmRlYleb sIwxsCzBXd01v7/XFl0r8e7GP0xV6b1SKfudz+vCMT/1vFjbq7iX0MA3iDWXH/osWWpYbsjfJl5B gn4dWJGjb54LjtWcFfN9zGiPT6g2tFYEZYPD8L1M5L1CJlkw5DB1/PFn4sc5nbF4zMmVYB7EM9tc gDyoZI44HGs8TkH0rZmfyVqKROL14z6xnQm3eMkR0CTkl5bLoseHDkQSv6SGTDRFOK6QtDmdYdxE Ww6em4IK42CgyJxZZzUQpUh0svaEHrNmsOKRTBE3XU5GtN7zo6PtLDDAybC6oFQtubJA01msMKID GN/FO1hWRnMHmTfRJ1jiDBdFkRP+S8unUsV3uQMiEHQfVolHqpw4NMSzp2Slf11aL0GFXX6rsIcN itAbx9zOOEwdOe/2vAzOTOj4N3GX/89RtRyk+AKAzLd72DHKkoPB4kyRYtc+xUj+ITTcdFX46Y+V cyGkmTbFI1bIwsGTniJh4NfxgnxZgGhz6xuIIezPtb4zMCvuj2KiRSzYoCR0KcdsbMJJC/iKVAlF i7607k3xsKu0VtyA8n5DsB524akx2E0cTskVTikAr7DGQBGH8yeN2ZjE1PpZC6EOYEUNswXBCouU RwiRewS/UoDEU9FsgzO13dDhFvOFSH+22axye87zhNcf3aZhlKaZUxLYl7un8oV2FQCB36uIuUFY tteYf52QF+UJtV5GljLXX+3uiowKjXRJv0AHyqKDBICVDD0GztNGNxzZ/1IgzuqvjCWTvtsVaQ9s mAnfSRKL29kfbyCFhBVp3t01vILpZQdocgfkOBfKTjls6JWGUBIf2mSES0lUdmnElxGWoutmYF5e VcDU4+SS6aWRI/UueXtps4p4leAPWB3fKtNLzzODr9WupIzkTf2DFJhv8Kdq9zFlJanEVVEIILu3 QZRXLVfaiDP1b/4RsCHmYliVWQHccTLyAL1OMrcY4lMB8iSB5BRNTQAhK2dwpKwzBt0SfDrnUacW KoMf8t6Fjvuy9ovMcfN4XVBzDnzRX+uUD9KcId6ipJ/R7YYF9BV0GLIjKFnH8ibN7UHGma/aVoNv I85cdI3aea8C0gzv872k8GyeNWaB5f9HG+lCrrQLr6cteRurl7fGVX8woe5/NxsS2zHPDA2+edKJ J5QuMUxwS8orCKF1IdsXNSFutkrUiwgh8E2viw4Gf69y4kUFIkhbz1R+KwtKBEvIjNB+v49oK7WT djXzji8yozbiYULqWq7XZM6YjhHWLOWqkskGOl9+rS8HfAEdZl7lnvzTqpWNCxvmsNyROCFBG614 2hsCfWpjXc/GGLzPAAyezzXupiTMmTL5Rfpd6Qv24A5/UUzZAPnpCtHaHEXFi48o/J79BdVpEdxx vNV9y56yy5DbJNi6/TFk3nn1AbvP+iNLwCrt7lKXIvhDkYm6MPB3+3/tfNlgg78znt+w5+WzaqzD n/OV63fop6Zsz6a4fW1oRvkSc4AUxIy0+jL8zJgLPaLFak9uUnu40k+NV5P7lpCoQ8WE9wVQt5RP SOJsy/qJp5zApWIVfnw0APk9Ex5uvf7n0or/sUuev9Mch1D0w3NG07wYO6HV1jNZgEpEAbv8X6Q+ CK7VQV2aIJeRsdvF5tp7QGDo0qi59qJOwFvoBA1CAeaXeTne01DpSHbX3zPJbqc0HIUNv3rb4uyN WTVWGxSIw0r0DFDYOS+Xw5h9MkjJ4onTuERi5u/ApXBbgnOWBrNbVLXahx12Ppn2fX7pfJNDimFE sN4+YRgosR9KrH3/QtallnpqwMbZ+unlnP6EifXdT15/ZdvFQsBnGZHxme7DSP1us+s0znFHXfN6 slbFdfRt4lm9GGb1l0ww/gFsqWobQp8jpxQFYeJoHVBAsGU38l7CduD+9HYFAo1BCd5eAhszscI9 loguglbpVdIfZ+VuJsYTlit4ZjxgWreE+nwiRfBYEYht9/zl7b5UrEy4bbqdF4sCWVQ7hlsz5orm 1jfsl8umTcZPq7H2+MMKYNFP4Z6u45pmXQ85WnwWuxVJXPG786OJrf8Yb/Ge5QFmYq1z5djHKtCJ UnKu9H15zpjLDEu9UtviNaAWiyc+3xkgolpnZoX3haLoQueyMrPOdLxdxjLo/JZeGOmIO/R3smVE fauEZcLSRbwQwedLDnMjXG/0joGMAzK5PPYD/uejCHESGUmypgfHK2bHtU1f5bAl9n2gdUk8PWre SUnH0cYIws+GKVgqEumlRrEHFsmQ3KhRpaJbve3cEEwifyAvFca06RPbbs7ZVr/vzKYZi+vj73K1 2hvi5qK3POGYJA9k1lvH+kjOxZOwSHwLJIG1L9r5/xlfKtHB+heKnnjL7u40z+qRlaM+FOXgf7rO k+bHV/WXVxUsa6CGhGdGEypLsdILDcmEgIwls6sA6YjjOrmRGSkDcTTsVF6UK/cxjHTZF9BCy4Hh lFtxEn1QI0g5MA0Y6hWRK3DB21ahlhjegeKTS3FOvldX/jAwy9A3YOD2R73xaLpscBHGTV8CBDLM BtMPE84GebgqCc5fsYYdcqHMsiIcrygTt+aAJIx91wrnXr9dP+Lmewc9zvAKq9noz39LGGIP1363 w/OaLlOyabxvUz/kIUkqz6tBvaY2wwDQTmkNevwZYtWaBsl9vj0EyyQuqeMpARaOf3Q4U/OSqOvf AQhoiIJDQ+rFpyFRaiE0ux8bquZt/pA6D9D6ytemF0LxWSUf2w8yHTm5uSfGD8pfASoXjTdtylG6 TXUgRRcDgIMUvUWQFrsMp9qg8SlMCBRPjDXjcCy5odsaErPfez1YLuEnIoJPJ5QV9x9vw7KfkBE0 Mwt721XHAUicDNDwdI+eI8Xe4EuLnJt8UJzfJWmyc4PZyVsK2gxdo1XJmBIM0OiMJWDfbY5APIEQ YU0dwDlrrKcvUNBISCsugK0P1ZnQYsE/rfxZezbnMM/SmSqK8XGto/9onLwrunVBnANl/wzHNV04 wV1r46TWsxshNsN6zjKwPgdm0bCkaJizq+9+2RBWtu7cgtOVnoGoKxBt/ZRIhrs4uXyO8D5jkQG5 1c0WSyYBKgxw9oiO+tOGSmguXUaiDf+821859zX62FtT9WEyar2KubzSNC1KWnVywSrigP7dNfsN 4OQAyBiCZ5Hop81zsXXgQUVhysqu6pXNNYmsmJuvJQz4Hs6lGywEtXP6JHa22WxvHd3wUHf30Qlo f88Mdsa/+zTRkwaGKqg9F6rAAF0G5JAfA7jC+aNiVpgnsJpvLPLop+EAYLiB+UH09DoCIKM0XwvW dSupCnP2WWKyF3YN4hYothrF+diDcy93f7HDajwvRf6n5fC5bBh7uLJg5pHvc94iYnF94kmC2MkA gdXkbiK/jJ2JluqTg4gf0/OMN5bGiQzq1hCT26VhW2qa00m7JisjQhLSYzHs1PGWo6RFCu9DFEQM ZsxMbfNsdt1RQc1W6URyFYdIa/2UaG4R1VWjDVwqLcTUDj1gLYdR0b01NGfyRDqjYU5sp0wyY+lz VSqmNYp85NezQcIcHuz3m6Iqt7CAD7Fch8Q+mwXrqY+kJIMeR6G8iWMG1ClrrJUMgUn5f9o8BgDg 51ht4DoWpYVTcmTXJ18t7PpFkhwH49CAa53mmILQu5pxttuDF5PtMxnFdSdAfiEpFSnIG1HUvQuX ehF9q5UdjlEQ8fRSyNp6Cx+IUJN8vY1AE6aRkYfSFZotvWYA+vgHmPb+qCvXekz6/DYQe2lKwsv6 d+VI4c3C9MutrfhxtI6WBL5SU/HPxMGPjnIcDhOpVdJZxMqATtxmeje7Pc+aMyIycECb2NmjrXo2 Rp6N0NftGAsHFOhbQuXYRVkzxMMwvfSuoEYJkqnO+GvmVvJuU5pv3zJJArdrPnGBi/uQoB5Uc9Vy OlSydKLLQbdqcDHg5JAreWial0+VDjt4jhFhUc4BXVXXNpPAwU3P+AGsaJeS9f2QRC1ZCxnJUmI2 p6PzWLRf82Ra70zOiQaiYj7yt7OI3tpg0edaIuHkaM3i41YVs4hH4PE8AQLD/4MZibu16XH0F+zU VbEeVrLi1L/P9a7RRll6NPejLX6AY5l+NW/qUveyWG3bp3vr8YSQQYJoAlfmc2kyGt72ohzCdhNh YRT6ej5R/1r+7+RWL5v0pDPeS7dJxXgBq6Z7jbhdlTwz/zUYoGgFA7U/pFCMoTljjkfvWLc5wUmt RbVJfxjpe40YvAUpri7W5zty6WE2S8HLw/Y5z9Uu6oy5KlhKLB+BQuXvNBNJjZL4cypz0IXVUOSm EyiFQZvyzAxnKRxlSPJfOR0hAmxRK8N4gWa0eDP0XWIMMExlrNCRM7SS0MppdfK0SCGTarKsNMpm yDaJZ3YDLpowBnhkq6v2XM3W3IULFBx8iq5lENUimthwXNISAyM9J7Ncv1O7TrDqKqCyEdLnv/Kb eRXM4yo+Iata8vYdoRpOvSNqB/BXImmbLGbVKcKCuvi1h/tGSVbqXY66NeuIld0YJdhuR9D4bsuy YIA5O7R+06WqzbFa5a38F6twNQ7AA9wzVY4hNCIrGAO5X4wg9u3v5ugBd+MGj4EBSM0/jmeG9d15 cVQrKnLw47TK/ip2mwP4/LlPOhUEXNDPcBVCiNsQHKU2ve/hn1zEFxg56rLZl9nljXpnDlA6q1U4 w7MrTSFl0/Z0vr5/d2h29gHl4IoKNv2JhTWup6dvkbKC5LACx7v65E1gwjoGSzJDXJF6uIFm/BhQ hQaw/UNXK9KNm9QxSYR9N13/0wCe4KW2PZRnMaM+Wfsc2M505EWqzQwtq9QZdCPxw/e+lplKtHSn MUNBowgjL3NU8fJHGQ4OgbTMkQcdy3crgBi9bIaKhGXrkd8bCu5Woy9DEcKtuySUC44m54rVR/L0 ae4CpgSKtsMAvuPVU4MxON8jogYoyiVoXiofBuzpB/JfA71bCPiw7te0BgAbE1LCph5kpyQbbEkt XWJF4kP4xg17ntOURjpLnCqKoq5GD3vgSYW+DtOTnYTufmnxsUSzniDrraET4z15VWU7A4bqF5gM n7f+KJXK+7t8XN4OiliFDuLNJiwll1l4A6rmxL05az4k0ggsUPKBuuekpQEmm3UEArDkMamGrpEW 7Te/SCelGOd6QYYTFwfgqfgoxH3VPh5mf/QBbqORGmBsyAktASqqDqneR6l70m3YVnXHaBJNFQZU acU8hcQb/ctc3FZbu1EVG3yCPQ1qwau4WkOLhu/rGqC6OURdekAV7zLsGlmZ/jUjlbw6re/M3vFu U5hWiR8arviS5HnTjSsOn3FmTYrXbBHdSm0Fnlj7VH9yAgyfH4WT/YIV9BcvBsJLWXBSUeywrwyi cJmyZJAlzeD6jCGrDuiUF98XFdVOcTYZyMT1kGwslteLCdweXAuP+y4hSZyvyIdn41cznffxoGbb qw5ZK6DlvTLmzmvynSkJPofpxf2B0PuDmLoe7yhIh+dGMVgM0rKr2zauQydA0zMY7dHzZlZuafjd oUOBgJ/utK2MTYE5k6Q4lsxMGDa9JoD+B6lu01WuyKozKu5uW/r5EcDUSBRU2AYoOxHonex4U0t6 /g6ZKbHIyOVdgTHNsUfs7ecZ6RvaDCYESocUy8FfCRbfU4P2JT15kADtqXvgQY67udTz3gvWVgLi uofV34ccOihzGDcl7jXROwvPR41HkD60ZF9vfbpADzAzG4/UN17RvkFvpsvwbidVwNkvwtLMDKJZ BNBXV5LdVTEHngbpr4LnSRO6Q3J88Vk5irmgqhB5O3MReA+7izpN5aSsSetZUoB3bmL6DHhguCV5 FNORTAZXSlHA2aTjOyW0G8pbFXn0pwnKvWcgu2VNaEPpQUp0kBeBv3uIOCjPBXAzWNsiM1m1RtIx ORHkFLkayatuXIu7kLbKm2HOwJuEt/E6//bAKLv7nQlURpTZd++x252WAMgu6a9EVBB575nrJDW9 Gt71WiubARHF/OQsLGuHB86Y3KTyQHrCi8Hw0QkC7pcH4Uh5D7koymbNjvIP53Wk3kTitr/pZwKG b88G4AnAhiOEDenPS/euwN8hS6tR3fOKoYcHfUwPzT/M5Z/bDE1tnUoyDGXfKhMPUczLBgDglIUX MCN7wyeiEovy+27w3xMhDIJipcvMSlv7DNkfPDtsPPwwG2+B/kBhWODh/cLClcyW2W56TZ2GSXhy 8m7OZqPHUcVuKFZ2yLkfy8RKe+TgrZBCdntG+eXlu8GrihoxKpvJAZ1C1w6CG7KcmIcGNKbX46iz j5ktDvr/4qSM+P+fTTpiZRbq4LGLHL1RY0WEM2Ync+kws9z7PR7Rmv9Mc/gIAx1WZciJp7aiSG1q 9orwY7fbcPm3nXHy4Sr87bo+bRYbCNFMagHI9g8lzyeW+f834khwYJr1eIBHCQlyuhmYD8OR7gAe BRjJKDIPCjLYP9uWk5eusckcdag8JI7JkBGRtsQC3BPJyGVvtdzFCoKQvCg3SxqTMMTVeA10UmC8 KgkPU5O5D0QdSIaTTZeTvzHNlfWpBwUp28v+AO8M9cduievPOy+5wYKOz5avutGz2ahevy9d54Cc E6fScJYVAeQCvDetCAht7FKJmiJOEAFMxgtUn3ZtOaCCtTBvo7FE7tWiShcGQkupMvMl2f5vemw5 yO29knH5l0JCgthRp885OOizsrGlbz4awbBMMOaHo35cze7Fy26torg9JC9K5RtXN1Fcwcpbzble mkk59IpXPF3DmcfHijGYcdi4FZ7EPF7tAaVfX7nXIpBKmogEbRvAYwpwmPEmDrm6/D8fxRd6kewa 0dzZnjYl0g4tQT89DNUNQ29WUaugO74349VCy2USr/PvFsX6R/BF7sxTwOYcrJxSnSXoGJgg+dTL +rrrWxvObThq6KnwgvsbSS9ieu8RsNuMT9yegeVU1e2HkigDx3gx6HQAqKqabXlu6m7J39GiqrGP xi6+klTsbvNnstUUTMOxVErIyhs7TWdrGXeIesSHHKy73Vd7QthOgXEuRlfGpSL5D/N8zQRSMN48 LOL0NC8L8z+DTt6PI7U37oHVHn27/rPRnNkLEhxZ43Y+Q0Hmpn/LCGiPRDIjqnSXjpQ6XJWJB2+M iKJZ3v+QLvuGN+vNLi8IBHudCRz6WPb18Ws0kAo/uoGTeB32oRXSuMilKe+3xiB3/c+WGSqSU7dH K+1ilC7zGdLHhWTbPbrujZscqBSEfyQ9uQyKCt4Eu7yOISwni8C90HCXcW7OeFr26aAmu3X3UonV XGySFHkbp8kWvVnXoz60JPYtaguTatkufQCHIJ6iPFxpwOscfPndPiDyIxWotmI4KfCAu0XiiIU6 m4hi5gLcnDyAHilIg7pDsX9BM9pcpKX028naB/aDWCFgo9Tf+kUtVmK75Ku7W9oQwhW5sc+GeqNG KQoBhtRXif+ypvFsexhtdSi64PEcfGckUbtAmp11IHJrXDF5yMu5voGYDpJOyrLl22GOaBs8qu17 qdCpCPmDA9BlG7TNqSVkEcownMAP8VqnidBhWST4kHzB6yjO3riRV0xAG7g4Px6tYK/ynGjz6W8U I6aJvDA32VIKQPhW3t/2iqTGEDQp9K1smOEutCSK7CX+VURgRcSRO4iGFux3WgoQPVLhcaL0JfvI Eo/lwj+/NWUoOKyhTo+Qotx4mqAxSSWueFE8Zzf/X0KXFvoDY4a2JlldqVjHTp34JaotcG+bRJqe q1fOFw3IKBpRj5lPbHP5jXeRjTH+4d4OQoJD3q6TCqL2cN0Vz4uapFiNN4rC8eqQYAY1smNKOWUx 8JFWp9ryaKnqgmaLnmffIQVMumOb+Lj6fSETk3sDqc7rRQKN4PN+pBPIzWIH6hW9se4DI9dFsTrN u3eCFWco7D2ie0ssIzvFHilPxojsZhwhClORVkMgHNpXFrEAO1EQ6z9WoauTiCFfcAVul8jJ/Ut0 izHBEOKN0+d9rCdgMpXk2Wl1Ld3V4PgNlG6NcgIP57SOLH5P/IZXVmHHyNGgmOI85BAxyHAwfl5D XCT0tQRt6nmpSdzptVluRzEpPaVD65FLRiQQ+5hqiWuPzS43/P9C+yDflEdEufuP8Go25eHHgZHo mziHuh/MEgjUsXUaNmrzxRQiLmw0ZQp17YRSuhEJyfFWgkVx81TUzp12+al7R0fAXP8c0Jo9st+q N4eViMj5Gp/0Da6aIepnXYHXmcXMSbA0b1m6d51KYtIJXi+4v7Iab0bRs5lxYV6hK6mlDsoOAiR5 6Dl7Z3GW+J+RVi+Of3xHvTyIsCFCLx4GzyQmdYUdvR0i/E+o2nhuMPMSfnvJh8x4+AnfXcVih3gE DO2F4VHGDqfULAcSQgc9eP9b44E7Btj9W/v8zWt89GUTg8aOblx+BVn54h42btyq1HAmFHtNDpnp y0dwwSQGTvh/eYY3MIOw5yAT+1mwboaZYiSJDYpCxg9XKn8y8dQ+N1KOZdf1azrpXwxciC+CqxhX KqJ9kttogO47Yzz2QxJoRXFVw9PtyVTCRFlEV47eBqo8b3VBkrn5y5WFgQMbU8gep2LuZqlAUTAK JpPWGAUnso88xPzmbIUhQ28T1bnAC4DkNiphFE6UpFGHittHknOHvoKP3GXycHJxaMc72VMB7bGj XVF2hoyg8d2XIUOv/Bpn8r7mtwEVBeCV6/mSXxzkJQJNh6rps0/rerFUISqrZEfmH5QK3ARLbITk AR44jNUYBi2cJlcQYA16T3xliUsnu3mzyz6JZZcB+n8257cw74hb7Mhd+gWjqfEaTXxU3mnARXE3 sH5/DkWMoAPt6zVlZNK3fCNzBi1xFpVkQw5PJAsBrFU2wpcYR1yJLGBiW8ISzLfJESfIbqu9Ly7z 3dhreBGYuRPAhZW4jNRcU2L9dfxjmYsXV8wsUrp45XLdqXYZ7DfSAxPh3U9y5+aOXEWxmGE95BTH sc90MX7it82diye4kQyfdRpdX+3sV9QhlMjyFAgN0t76HxoQwu/hlTKn6qZJL+Yj+/0mHi9bPhE0 rutiph9RudWp/ncTcwHskNZjmJjYWhSPlJwHiDY5hRjykl5dAHeU1verVih5bGqEdNOGJaYKEgER 6oqsL5g+P5AhUS+C2E/S3A+occ22uRrirR7HaDw5PxvzKUzxRMREcqCRs9ppRCPm36BlROpq8dFv WqyZPKqd8TZyHnkoTLbQzIKpRQN+074eZPTdpSy1Vbiv23hdUyYR/0cHM+scR1HY/yZfyVi0gkZH EqSA8fMJimo0QQ4lt/BopCDEo+eQjLsj1x4nnhWujL8AbuVmg9waPeVL0gaEBSbBq9r8jUA7PF98 ZDnFzlt615UzjbjHAy8LMc84zUG06yW/lm/mVKuoZZ04a16LUs2AaoYUPHQNR1Hs6kXIC7Jqvdtl KJjpHONQTPkWQaT1uZeOp9zAYOBZ6UoM/Zid6qgXqbyq0irr/Ua+vsv8y0O3esPZVn/gsDkdckry bimbq9ahuQmRSUs21ykH391YXMsUUkQ0VgFcto8j9LEvLrOmcOxNBlDDMGmYsdgsBEvr8GaonraM jysJZ/W0xwyFuMjLyM3qtfZ6OE4sOGUMrwHpQKLF65gJ0N12Bw0alGuZ99PIxjLhC3R+ga/0oaRl hEYikxefoBs6li3ZhL6quQu3+8eIORA4v2e7Z7Lq2CKrw3QtiQW+cVgtC7CZd83qcLwrf05giQIv CFT1rIP1lzU2d1GPIxmTT3982Ubyre1IeWdmWJNkYQJxogKYpYkHHrPRPBer14/Y6BQBCsyGa/MH Dc5eSfD80OOXIkDOtU5NRfSHTpPYXE1O2zofMuibl2vzod10lnbiSYUlW5FNw85LZogrLo6wBOZw wgB3l6TZZciZYkT6IdZp8bH59S+7y1hHhm+FvXvNjj2Bcvrl8xkmfZbuG9/sxNFrser0xvfJa27z nvkIFltt7aHm6gWNqNihFnp+boVoUs5Hxle7IGZ60lYt2xXP6cI5lUqmZCECYPDAZ7wjaXicbhky U8UmW5U3k2ULhEdeFkRcyb8WRiNYn/lG+gNzz9Mojod96VMbJXHZzj/HiHQQX0mdyu+nSJPyiNwf V1VL/PL0przeAPgbQwA6geNSszi3PmcheHw//kailCJy/AuHNH4V0vhgF2oYulk7zm2Y2SyDOWwQ uWK4AEmPpHrzcX/svME4C4utaNNmzrKw+y6pI2kbZHIwx4KSL4d+jap/GuKGBYxbCcRqd3fWmIpV AFvJgz7Mr5WQpcYqQV0co9E0IxVKANqL+p6fEZ+NuHU2LMOg1g7NrGdUrlSnIS4OtNAAKpwZMfQ2 utFsUibt1JqrSbVTDd4X3FvFEnFBq+NAeDrX2GtxaJ2TU7kUeahpcJ+C1yqEksWKosVk6l57xyig HxPN0G99XsJHBaoRRktHdmX9l3x8bqOfzvUHZrIGEDM0Ju0WRka9W+FQSRlUo5/yPtdNeCu15dsx 5caMhpNO5CckL1Cu+tYpUdGu+pKapX0kmvyb/rylEWE6NQ6vTsVxE2ufcEb4KDvb76RFbShOBS2f EVXLhZZqyLBYBDFp1MU1+lsLH75iTqOiUlBcPGBsgRUjCRofrSvf5hIdmPCkBVTWv5VNu74dpdqa vhP2+/2W34H4D9eHxdbE+iaLxgIhFILJLwEpjBRoHm7dPd4DT3sdXXD45TaeZS4kJfsl828sBEQi kG+Dq1JKC8wNJY+PLqRb2bR8hmKPZK+KOgCXHv8dqMXGNwK18BgAS88Q/vRYQYl4c1J46xVL2X3J Aam1dNhoCMQcSRasadfO7qwxtn+Ko4RQX1rmDrTKKX4IuqJNVm9YqqFiltLDjzV1+cfNR2hQ33gq bxoIuwfP960KeuMpR/b6kA85xRCZ1py0A4F1G8RNbXOZWKvTeSBp+M+0EhuGExt4QZjHVsViyW0V dfbgdyVyWC4dL2XFLcq/BptsDdzdTrZIFgOhhp8jdWkhvEDPGxYHs/mvzx0QFVki3MfouHcqytgi VJyCPFCEQtZzLrfx8CfSDPCp6LtslDwiFMBCP2BgnAZfMwse/Hbv/8KgMDoM/3QLjGkLg8pnTqx4 Cvbh2CQC7RvFMwZgTk6zR8E19100Ar2Pv6WnSDO+4uMMYyjPMIqr//phpvncxOT/bi3uz5+nz1+u 4+tvpOPI5eEcnh3JxBRk0NbAVRBG1ho5io8wl4WPO2XMHsr2rfQ34qbywP/p+RFNxmLdxDdE/OZt elh+z5S7/EyPjX9im2cJh5G+fxMoKoClR4u8Mlwqt1H4bRlFAx6PkRJNoL5zEV4LUwr6e3A+LKYa 6/ljnvd9ViKJZWPIS0vM2ZqIX8rHk1qe/3EVfGTsOOHUcmgGhVf4iwEUd+HZd+QWhaq0Qe0CY0DF wPoaD8lg+muiq266SMdyaWnHFnTsInQDWrHGX7xFd5rJPg5YSGKSJFHbWdnYxAafQ8b9+uOARWcu Ug2OXP+dIWfE/8CBt8wtu1lMBY1Hv3tfQgOarMkhB1AQV6ylHL2RbFWv51zJbKqTsSBdQKe0QJnS AfNH7C4P8TjxJgpXlGsayYo3W8W3FtyFSFF5ddq8vMv6Mbw40zLTfkB+vRudiT46DZfXnPJATU/1 Olkk7WF34SD1rt/zD7oHpAANasBCmIZQIfk0h4I1YMFtgLy2ZOJjHPDRX/SACkdN9xe1RcY/bnLz tTCF6pY1M6AU+sdk808CLFap0FDFlSnGdfF39IrO5x+HeCT6gPWlHvADmvWE7cZzwLOV+C5U225I DG3h93D3KuhfybdM1Imlum9HiqVM8JU8Wg2Jeze3Rizhd9iUlRcKF6jcK6ac3muULEgSIL+LfLp7 dp30MAx5LhwA1pZ9+xnwNHUw+1y/iononaoBaPAwV2X1Cm7uQqDgHi9kg5y8cQz+aY5mdhHXEfVI uJNSHUxnedenNcoirKhhgWPjThjG35qJbCzKcVpFuz8TB3dt+WgQqr7RGkBbtVDaQGHxP8hkKihV pYZkczjHCGA7mtXH5gCw6+19c5jHiMK0HtO90LB8YIRKKSe+sTiyCRw5zjAdneEAcpkQH71shfC3 8o8XBQXJV3FRbH9NrJvx8dB8xCBFtBWAykuwMgiirn52Okt9yZCXFz1xhJMmN7FmXN1mx925lzXH ppi7w8uiYkHaEMxtaZ1mXjnpZ85iWo7L/Nc7Z6Wmj7xJsQOrbCEcFy48kBZXh6WgqdQzu254kLTB QEavoEmNQ6i0JKqMle6Yx2ZKTLikKxPC0la0UpaEeGQAmjFhmcFYuLDa5xgvbju55dBduEePhmER z5VQpRBwgDzxew60agHwa6fek3LdCu0HXmXMF5d93lIPuo2rffCv93mPTYjbTZ9lCMSo57joPM0H wgB9SS34jRTL/8nOSxrSRwem5Cf6WJXbmXg2F7aVNQ5zJ+p/2WC0VsoCA3zDsIh63JIiyuwR0E8U wOwyctiC/6nedCZoB7w+2eL/pEvxkfO79ecTRF0OEUu3fIr8Y136BegkoTXL69jL23z23uHXsg4l LFLa3HMjUIadlXMTUIm4gKXLMWNw7/hy717Z7g9DOdFi95piJSQm/Lt783ldl/eN2UlFO+VtlmXK CFSvzilSmOz9AJIogx6JTGzaNP+odCV8BBf2j1W3UOgN2B4t2xln4IzK9U2CRY42OmxT+EUAfMPu /X5IprA8EuTU+ewlfxSO0sIMNmxBuG/xvZ/L4zJls95i5mK0rnvpI8vtXcdml4bQqXThCFwCTraE 0CiGLZ71S5Fcu9suddvuUlxa20cR4uhuClft0WhRUoAZzjlaxxt6D/6IYwY9h7rkqWR2giZPHvdz UYEPTJJJ+g2IDBkGnv5nF59acoINfVtnSOY0hkWirY4Zp/VO6UAIUboqhdVLm8w6z8t9B+B3qg1l UIq8u0A/erRoX/Spejkhxc/soBtVFWtlZ4JaamVIQ9LfwlzvZGKflJddkoHw0Xx+ywQ2k8GxXlKj 8gM/YoSj2wuqfrUDpNJvVPnO9v/tqomzZ5ssVt7xSV7yJRhuyzUtUuPW4rREBT7xYPoF321LtK5N NdLj8eaQfM7gMUjYc2DokbA/QVvkxVnskbC/gnIOdF/ErTsh/v7Su16hpzI09XlhK+7uslbdhprb VJmo62he5zG/fKOdwNQ2bNcHkXrKOvQO4r8LAIbt2KYqNmuvch8w8VlPZMc+8NooV6u8C9V3m4m7 NWx4By5SdLFhbKcQAv+YmXR8Wn+YkFxJN6o4tf3IRgxBPmQQJ4gZ1NqMT8totpyzWQBBYARQ7OLI cOIf3TgNVfGs3RSeubMHliULdecSlSuuY9XlGcl5m9ljd5Ht5yJqdb4uJHValMaJN7Fc6pw8l8QT 8Ul1IF8MasYNh/7/XhhfpUqNbvzBnip9y4bSI8Vo3lb8lRd+Q9U/dsU8zVm23MRTJjDu2DG3gN8h x+Abwo7rt6XhgX3m8XNUvnhfWwmhgQDPUha0KfVQ7ZGgtva3y9wZeu5sfuq0AiS2r8scaDA4nSJM B6cPUlmlLmgrHK9s3RNjEts4JrSeEM1+gE/w/Y0nz/KB94TV4TIOMNtmwgEzkdZra4c9jvLvb8g0 9RXBfKawS0mcFtl43HFXFMaYHFGnjJcO94o5w3nqVHIi8L97/7SRe4yGyL3BVcdvzzzrY8HtcCUa a7JdS4rO4QblxyqLdQn2HGh6x4SNN7f6W8xV0sMbDlLrkhpnTkOVxozRqps83+EVqkNpOfhiM+hI rKCyEWOWskUoaqHikWaw93tTRpzrkCTB05o7Gt/+MSUZY9mNGbjkvrkKOl4vV9A3c7JY0f6hiFHc rW1DVySOEzCw90ML74INSvkhdbGJ3Qjtzj5dogVODf0iCNfcAECeEdLND/hFZIxcc35ye8HdILgj TokCT04JznGxGToy03pQvBKycHesFgPi9pIvlxhykRFpsGrnCYWVtQbWqCY7avQZfXlkTbalcZKm aUHRH5Da7WLGSzKr0oFudmZ9DwKoIJeeMPjF7Wbkmr939BCTNpezjyHWVNqeCeYV8XGYBAvvO/sQ rY6BiLA5yjkBQevXwBiWaSeylPahFaqUrxCgcaNtBzveEWRNwHQtSDNmJKcVDtxRZhAGHp4/VfSw jY1HIcjVK1Ds9+rGOc3TdMYA1g+0kL4j4HLhzJMNadlChvKRX2m3crpoTwjlnZNnQY9N0I0R5KA1 IQTRTAfoGlq6hK+/zPu68b9geVSKoZIPvOCRtzB3q8SAm097D58TFZcecPucHufLQX88fY0cWQy+ +hn03lX8KhK5quJWggVBxYX0QvsGOAjb0weSRSnFYf/d2h4OHOc2O8fFJ75uuTKwVd7EoHrJkleE 7QKqlZt9IH/6bVOBbtPeqxLiFCbnXPyBoEl5Pr4Xc9l4kKlPgVW45DuDZm21KTbagxKxTIPK76KA 8QViWQPLgmh4IzQJzm0bF3S9zwow/kqn7eMkGpp2O9efdonvVYUIGt15L616GU1QZZIwM5En859w 8GtFfGfdh/twBOaSnkJgJ5QZ92FVzCJPMy+moeiPcHc0kNJHjvI4Edyf651HF+M+ZqeRDwlZDape eOl2GH4tRt+ta/qS7bdpMAD9Zh+NF9km1plzH+Htn9W+BKY3KoNtdnFiToV1wVCSGeNpfaAOfug/ dXarPKmXfWSCZSZ4K41FGpROoNp6k8TDC9bZ5KcqZ8jsF5Cok3hLATa31EfJ+NUwazyS0NbEsupI l8XaKUzbm6bB7GqWLdcDqv8ppYKqozg625nlf1ILq1HIbco0s3Hw/vzpi712OZsAnsNNQzuaxah+ 7spQayLut5s+ax0HSFvg1oUXT+j4xYvqFFSNN+PkKxhrlzgtsZHHTWUQ5JIy/ce2LDvWZBTeBuJI qD3RzvM4A55cTvfIsnxAnZ3wYB40a/CnlQR6PdOYeHo3K7rcF7ktlBIEHzAJLQd312xaOOGTTVhW n65fsydy8CYIOHPz+ocqtTNl1JiyeSVYk9H5JgmDY6Ku7XbhY+GibQgXQFc46QeHZekPXAE+zRGj 6a9tDqk9129lVEfX38FeBn8Ub/46qkZEQt3RpJbiOGY6DjdgaoBYVirBpXSs1IdHDbfa6pnJh5mY bTSSM2HKEZtoy82l9V8HqMNDoRF4ucsEkXxX5DCqil7BnjMWiJks67TjJ6yVmOhfXFL81+zTwwXN W6cAb0UvNmZQ2+LehdHl/nOaA1h+l/aULr+Vdm+C3cPur2L2Wvefh3WEzhp+S4KGL6stjwLIga8/ q6tzE3Xmpg7hvtquJcQ+h/Voaz8558W6nc4sBo0Elp0f/7S054qqcYaii9p9tnMC3DT13FgBhBmJ tOujz4u4Om0Nw+7H+SRf8p7aiu3SJoc3KwAsW4rHBdOWUDjWsVem1rYtD7t/WMcv5MO1Px2kQG8R nnZ+QkKAntKP8r0cs2+L1tfV1jMD3UX/roWatrK8hMUDci/LfGz1m4BqoQs57QFX4vCaGFyJAXHo NKV6WVK9C0yhKMCwJ643CdlS9AjW5l2Zqdl0blzDotMjElUObfL5Cj7QUBTow3ao0N9oxicCHQlw x9gG07chFh4JDKe1dUCYDlJReBlRU/Y16v/33C+HKLxFR1DU7YZVt79Ukje9OVZwWYn17uJyJRlt 7dGNi9v8GN84Jcm0ouzn8JCJS8dWHFrBPZZ5U9xbDixjFU792DtO9OiZL4swLrHceRFONQjm/h/w 5CxTVmZ7s10H3D0P+/x5m/YThRkMi1+yI5fwVRPyqPY3oGzjp8W2X/LWtzquh8i8ma1EEPpbOzQl sTZJjJjxMIrHPwijiiaMx1NeHkKE+aGMAmYroWJ6JBfXoWnyOdVyx9yeeS8QAgkSUUCK94m7v4yN TuzncLacjZTAAqfjPT1vBQV7jsX+v3PnYSUHDQyqV1bejIf/VRbtaC67aF4YBkt9lsVGXlL/oz3t naa0GJqdJYDEYRs2drnPWQToW42z6qP0owR6ianFBC9cTRrw1vTislZ+tSUxOMJZevg0zowJ8jFK La4gbcKDpwV5hrxV/azeVSUXKfQqZF6eSv2eG1uUoZx70JwGDPzXCJRhw+WbsbHpEklawYLgCOW2 951Xyc5Bti2ioncFBbYXjQYIXqJ0GtmIrvUgTl6O97d9rLxzxmVB+hmCA3qYnlRNWP63pqT+w1lF Ol7yzJADAztIG3R2pX1RtD8wBvMzuL/IRiw8vEE2eYBHyXfxnjnc07fYo8my4thKj2Ap9Sw4hpZN IgJSQJFRBl3sHoqS7ZmqM9oJfexSy6vDD9m0SRqQuks0jUiSqnEmVLSQVTi7QuekaIxPfiPXIMRW xbuIOotwUI68FsAb+pU4OHqLKcvtsdXJjW4dmTJhnUjoQfEO0n3Lp4N0HZSaB3qfnMm01cN2L+iF ewR53Z4y6v3BOMyFK1kgj8ufsDrAig6UND9arGYiwGUtR7nx0I0bte9ctCxcNeiFd++Q+MGX4qk1 rGYEIqM3eXB8CCfcTpKd+ElrPoGvy7Pxovq+pHKm2pyBIwEgjsSNxsMAROH1YlTsbR8cm1yKNS2r +ngJju4rUJ3dSD40SYpxJ2u0wbOqbluP9j+pCByLUipQuXTsXzpATAU7rW3HappqR2MUk/AJo9wp CYtKizLsVy8I/NiZ+tBIQZ9LoTtNzWvgjUt0Qyce0xa2tIIreigTC/Zu0EVPfDeepYvmasLEr4gB T+2r5mUaQpsZjPRSbOdtj9L+rhF/KkZzaPXcIRYRZ9SMnX/lX3/it4EbPeXHl7bakbP1LpNxe4QW U6RjsaIEHntPBbpjgPwYLOYxZ0lCEbc7vW7LDmvAv3W+k0ZSq37ZyC+qJJvvRSLalDvlNdQ3xH50 rAdtGB6kbPWkCQD6BX4MiEAooFeTZC0TnxNkrCTMz2p09m+akfjlpQPxRMaOvIiRTcRVHa8Y8jy1 TQ08gFZ/75dMUHgMj4o0tYHoXGR8Y6I34plyJ5IypIq8K90mNFXa4lAv3quGyvWEYIt+4XqSiwy2 UHNoZV6+0wSyDnHNa6cgwIudlI/J6jckLE2AAHGy5MEfL23eTGPmyYdeypUH+BoSEqSClJQV6Z2+ P1GOodqGVJl4mtALSQ85pG3wQN5oQt2ZklbRrPKDgPLsUflQdwd9wFcWY/cwsqmWK67GW2cLO6eJ 91ekAIGInJK4lpEFVLmTYu2NjoOkoKcgYTx0+ucg3WPZt84Ee8cFpx+yVxkReymEuxPj4a50u+V2 188adeQ97N0UnMA4N6iYB71Q5wUhcc4iPsB5RBAb7MnzQr6foEheGmIGDBuPfJcVlsg4sd0STyFE nmW8IBG3M7OLeTFEUoztc+6hlZlkJ0CG4DNDXG0WR2aS7fDexYRuKS4NtMuhMPJ9M5PtMQ9S3mtK G4dEDTSmombetkKY6neZYDy0dBbjU97QjIAXmJW6bT3TtNL6VSK8pBfV/xbeYtbIcaccOx3mBk91 B4OBgx12XVNP4G/a/REhsAz4ckKG1IOFZ04bIUpm1Cj0lmVXOm26XMBcYDO/NriNw1NInACMZilN nb58cc993YBdn1auUWztIVYT6vAX5jRLa+T4UU+p8eK/I8nb/ObVqxef0C+DJH19L5eDb05DJzb5 fzBdmnHPZXLQ22Z1BMzlXxIXO6JOZQV/oxKocD8/DN+F8Bvyw8OWA88VP2cMwxJ1Jbnte7KkQDwU AylhsmVHEkPYcvz3m1GulTnQapk0yRgBGBClLuDNGwxOaLI5tQqPYv8/4Le9P73avul9A2T1pv35 +UQQfFwUubjdvxppYUaqU9T3N/ONoIdqyoJmf3P0xRQXHFQRh+vwY1DOVkrspI5sYi/hR1ql9mL4 X4FsV3KRJ4Czv2kv9+2NUvfaRaz/6ENreqLZsfso7PYDA74iYDKvr+q/y3+Hibgl7wdpeJL8MBDS J7IzQuls9w5mNXnFzu84lMhZ1GifBUUaLA5EA88zgXRcl5L1OjDjZQCXX2X/rhO8GyUrrrrkHYlK BdTI0yS3m8tZG5BIXiBhILoE3dyBx1vXdwzVdg4//Dc524jZyWkvxxn4F43sp+w5C9Ih4GIqR53l tB1UKXE/XE/u+9+zMdsYBtPfz38u4VUgyJop6fB3V4hAUKZ6meN37fB4s2lXb75iPb3nWKpc4ytd Tpwhq3Q8sJ7zUdEp7zReQbP7xxTyHeqxEmXGi78qhXYlFCPV+pEN2F/2gaxzXTpir/8ktdVQBn4T tThqdZVuR8ma2BPR8cvxWbdc51Sg3wtTeJQYQNJvYEOwKP2eFVzBgmGsqSgDOvCZgv+eNjqfaWhU A5O7bI6OejwXtN6MWY5MBeNNNLZkefHvhCNqXLrqycaMPnfXorSa87VDvuXdVeziTKPs4PNKT/3c pUOeX8zwQ/FYc4w97B1NyrhO5iDIpybFkginA19Sz2P1rb/nRfkAgaMz5PSsm0fK+YRp7DosZpHM JHjacQQdVyZJPo5eSjH7fyNC720B9U0uHKr5h4JO13tYmrINN5ex1x+66P4FN1nGf5XEurPGYIYJ dQrDNVPiRnbER9qRpB2PL9LtDo/krndFyP63RjkZVAp16IJNG/3dnb7TJ9wRQh7BM/9vWqxcXJxe EhI8LkUCCDG4QAKY9/+y04Lb5wmzpm+mjwVMaWnlJ9rs9hEwvalTgDd2cwAwpKx2kJFxcWgpsh9g pmdc3Nbl1BiVHfKal0R39EuviAmQd3J+10ziFQyZT06azv5CnBR1fRbXPxhCndEKtcsKPwvZAtKi o3DV47sGt3Kevtz+IJLxGSZ5rXX4aAwqKP2SQSM9JsvfPOk3fi0bfJa6DW4mnU7ckK945YvlqCE1 gRAmOuOwWmdNWUQMHwnwriPjC3W5LlOilIJh9OjNasUN6OwAcWfsjSOVgYxr3CqXh5f8/p9NGZ24 zYbV/mjRdUpWTReAdJyUUDSNmtEIMYtwUIuT63DZjnK/tH6oXvy6mqIf8LvgHwkI/5spMzWr9onh Rh7tdG80VzH+nguRgdVQa0rWwlKTfOy9cuJBjogmn4YkpXyim1j26aOfDQ/eo+UGY6OyHjgvBmB4 KwJ+6wq7ELiYUI7jq/EgytBJUzNp+lu0bHOTuygy4U561Lv5BJOsnm5UlDTEreMRvRRQKh8KaeoI KchNiUeL4Z2NcajlqpWHX3A/k4WvLmrLobSYEKnFdEv0/qvdLOF4/dPf0nLN6ceafTPdHa8ZRsxC dWFAT3YhDnAULFzxY62G0vtQK0teUYdV2sBBoLZiJey7mkqFWtESJ3/KoxUbPJuzlPDa4V/Ifm3B tJ+Z/QTVNRdKjg110QPCCvQc6zrQLD7Cf+dPM29RUKI0syW/O2gRy88O+yQ0VwekSjeFI5dqNoK9 QVUBoQKKr66xYeYgu+E1CoJMdrlmRNPWyzGQCpwdaAftrgPZ56K/IdbuQXPjKHuoOWLeZk4+Pnak DJa3HH2FmMDrqG6mBnenuTyvCbeuOoRIitMJah+U6DM5Q2Rasue+yGVLXdOvK1lDw/MDRRSARYq2 b46iwyG9kNW9YoCX0uIRSKQRLwk3IO58tx8jEKnfg2AfVypg7U9Wm7Z5ijrppPi6mBKQDlLdg6RE mokTutPnUe/ACKtaUGhBBZ65kCuQTqJhM1EJcUAt+cOaGXbs06IPenHN5XOjtxSe5eGUqOI92AeS b6EvpWGWGtf0bDPU6Q+vCKr7FsIT4Fy8tbUxSpTvktRpWz6TncyrJ7s7Te/8rjaXp/QjJ1isOURO mz3vZobAc3TfAfB8D+qFJuVuL99JN6PIg7RFlHbOHxhDAsvmFKBxX2gX1N9ByzwsmVwfKT2lWm2u UFu+o1W/ZNWM6tP2SW8F/89I4UQfS0FXlIi8bK5BmRQBafL7zYbXwBDZTXUYjqAvgSGXsPtsp1br LVfaT7VstOY3wWwYG1POvnONFmbsCGqOwWurCBLLE6lTLgxfR3nOKSbtXkc+35LopYOGVA9e3/PC pRHF2qskuZu5ZIAbJ8Y5b6rjnu1dS/dTWm4Bb1hRnE5j4kxAKCFW6WsR1lvGFnoF8MzkmyCnqA9o XBAabMPFMPgncvqBV34EPrA/fezDKvMicduq860mcs0DszstMXRxCNsPLPlY42mb09mL6rwuS7tJ AL0gCxr8nmdAD6oL4dDdWb27RO3XY8AhRv8rpMfrKWqo+3MYEuP8k0hOzaBJGKtQbn8gzvMieVdr FpVqDBUded0Su5t0ir5JKx0P/l6jp8nOLwzpdALMkjwde/0uI3Nm6oZaEgOYHMh3YOr4U6dD8OkQ Bi80WsZkdXxZx3yPtIKmbWCqjlq5+DY9SK5rQ/o/TBJjhY5KuTekgBPmon6NYoIGlqjTQN0XPn8j u/gsrj6EH8Sypm2rP/YzJFIeV7VY1hYd+LNAknMThJOzBoBCVmyEtqTJKs0DG4/kiXAFz/7I3LCV o1npyAzRSGWky99GBD3r2IPrF1l8HTTL6t3GsAPVwbxiruYBcDtpLVsQAABZbnqduuYwOGA28G3k 4O/+t7TgD2pMDml/nUK6QUUHJsDI5fvriwq4eRGe1kRjs559YORWJQpgmvDuZtUvFhVO9dBstaew MUjBaF/wqHxyY7lbuNCDFMrZP9SLUJH74uZmkOSB8tqd2DN/o742btG7yqSgb7yupiRSParl7y9G Hl0dJnlP3nuZgKFbC6HQPiPg8X3KZLhIQvh7ETFhBZVwq1y7YsYVJwg6w72UabPZUICSZytZN5L8 2LPDQF8t3yc4xvU9sQ3bDDQAJK3ib1MLb6YbNKzVT7hH/sXQNEI+SjfXpWRL5uJbJqaVju0Qy8YL etH1rMuCOX1iBwzYYy2/PCXzoOj9iUKCv8HdaAn6rYoE0aOxqDhu82TAeiBXG6RqTUexEeZN36Eb 95hjmYNIFiREBwJ0hrWViZ6xm8HUtFYiaXwZHlorkrF/I+WjqpH8cECVeDWvtkc2BtzbrZM9smuq IpOgwMWuuzndl/5niM5HXu11EUXlDGgLaCvtznxQhDtBPdy9fegKGX84tZncIWmCgXh8XYJ/R1c2 tb4nFnDkfxlGGvPy8c5fjk+SvqarzfLfuj0wSbw/4tW2s0rycqKEgXjlmnJoBICDlzwMOpVLyfca Dpj3Ci/E9i0F7rvg8KflAtxu2qnDBYHO84ttPxyhsEG3rtsug5/0j7eE/CHTH1hlb+jfKSMjZOpT 60u6M4m40KNWjZ4DJ47c9UI1hxVs9lPMCVtdAIq2me0PcngOCCAbLmTCUpmYvOmyfor4T/IKDoih sROXjalaXDBLITgCDnhRgji+1VPjI+QB5bDAw42C/q/HJepM3YPKuYl2YOXM5Xao0pImTlaGtcLP p9jQiJNgVu6ep5OCBkbbNOcMTMDEb9B9IUyJpA3daAoOSm7JbcUesGukVeKDhhr0gIR66dMcJ8MO 1QjdEJZ95UIpVjepzed/6WM7a7jRWf8Hyv+duUkGEfo0juV3P8wHaHD2fxZBuUiW0fp7AUKaX7Nn INvHEuR1vzzxrPU5wN1cy65EH5fdDGoIZiZpdXKskTiLn6qizcYyOKxid1Dvq4WWHuJw5B6z4h+l R76fX5WeZdgzem8378vwlRiMW5maapclnQAAqSe1UfmMSpbxT2f3tki2KTHpsDSlECTpGvu5C9+Z +W5VaAa/vFXwWD0mVMJSkk1+r23ZHjBxUjvBK1lf6NRPAcvXlOET0FyfJodAxG9jXCR11SMxyFTA vNysmMvLpFM+zLN6EEPh0gPtKgyUQL2GbC3rpzXcHMsIimdbXZBHcruMVHLeUz2sdXTihBPhCNtd OakyryUKK7GhrOL6aayVW39gZpKmBj2IjEKXPyd1hp99zDL4Ly+Lc6tCThPC4CHu/EemolnH3AiE d+zMXrUkWIZP/nKsajsQUTuNT2y/offwTzr6Y7/RWxNQno4CVdRnLuk7+oqIv4ylJDeYJaOJfLG6 ftpzX1gXeSR03+at0fI06d5R01mpP49dKrqu7Sd8v2mw45kcXFFjWPljb8sUTwMSgiswDcESOg5S QYFCuJygMOHTlQ5KWamQdVaYDF0mWU0bqV97Koa844fpFPde0ii407/bWTfOnmKP2HtDdN6nooY6 /6PVp37n8L5dRfrWu5CzgPhj4tE+5fclvqryfaABdmum9nkMUT39XYnorvvmX9iHxquUloJEBTFK VTIJd62kaMZdKihyl7MrS2Y4lETsdKwMBO2Bs1qB7wze/OmQze0pxXbC2fi3fdLljVVHuMJYAF0H z+C+ZzrsaF7vXmU3noMyxgMaQEKc5t8na15aW/jiVY7e3FbqYOfvRZriR9mTzWuc+LFLqL1EK42J tcgO9+8H7X81gsf0a/o1N0zOF60ZP5sLVm1Mk6V3dmOC5naF6yq/zrAcbI/fuss7k/1xJMFzab1p TDgCJ0jlpmvo3VCrQGzWTTL1fJH5NzZo9BF/P/z522Djo0trl6fneWUCA945pAxL71FOFza9QeLz uySy3FJzcCNF57hxOcQ/gDwyhrMoSiJ8NqSXFGxG2dRrhZ28wo3NPTZYYNSu3t6VB0uUhrrI3HSB n8CRG3dPQAEOdb8JTCCzDonTpf9aLBPUZRLJOcIW592XDE2YyQGg8LXjeqj38bqKoZXbs1AIDloK sHyilpEQp+9/PnTj2s/4JsfGNvji+MYJshhOXyM+jcunVMlYd8SqllTeg27BQ5eL5m8p865GOTqU kh7kEUBGKnzbCayngkUXZXnd0t1X5BUmjJiZuN5B2nMXc+IoikKsQamWdWgIjlVWJmNs11htK0m1 OLDgJZsNpo9qJsuB2IWCvdO67juvz6DlBzzwiUNowm79a0+RU8a9VJPwJWrAT/rnrrFUFbvk3cap P6RmQRXFXjvHtHcVzwnS6az6ofk1g81JdXmVouM57++HziijAnTHHUhMguDPMNL8I8IkZIFnAbcI eHlSoTkfO98Z6e+BL8ql3J0nxA+C9HgOgkK6Q3brjPmdbvTpJjXMHehyT7Kv/hefo+kHPrlHQ7UX Zts1zv2xZyA4TO3KFHe40bdcXzRXkSUCHFjQKAzoc/PnB2Iaf0/XMVTjS0g+KiINULNEAtplXvcm UFXBbwmAFOGyPABLfWk8+XCo6eBAg0ehw3E13FlRw6oMe6N0/zqlogjCd+tafkx8FyIG7SgTh+Ml lF0D4h983v4J0JpRZKHWhS9tao1mHaiV8ymJqDHoAEASHU9P7DV0ivJ5BUA/K4DttAx79u7wfk+i U1vWt/vsVJKOeSiFkEj48dn1nvb0575HYygFUQsPdgtXz38ovwKSCBlGrWHV1u3rITcgkSi8/8tj 1Yjq2x4+Pd4kf2j4AhV93vKl5T8OnUfZDIyw+iMnDEK6o9LYSCB8yxiwcM6iMT0s0UZnGfrTgyYX BB6Nn3RtxlHlW535/84SKMSC4V+djqwLw9pSTyDREsoXo9Rw4w42CME7WC1pgm9Akjte70X85dmE DiT8R8VvjuO9FzmYh/8Px/F9f0d+Y3DnWvLAKOerqxnWPatoLppIofHVoDZckyPijOCLm6MQRj0R o6HE/xO6EzwJ83cg+5z2uNJe/IBX6o4FSkn88BIx3vwIvEkfGCWaRoVlQkxZZbFBDnQhd2WsYlfU NigyZf0Y0+sW/olMwRXjRRmJ7Kp00PYKIw9QKzMml1legSJ59YlhTQ939pjH++xcetrIcl/gOtaU umpdxyHLK6oN61SpYX/7x70hlPuPTwEV/uSeMNPxWwI4udMpf+s7EIXt4fB2cp8m74gAjhLAeqoF LPLV7hcH//DlHw2gGDKE4qLYjYtx8kAdUwzPG9sx/+EG1oNGtQuFnzZ1Lyen0CDCbuMka44qyv/s Zf/jJEq35Qz/9UN8b5l1uyNF/VAsHwYy2oOcLcMxbN/7RODYePZm8K0pooUvezMqlWVgvdb3L2uj imuCc5BD5DoGGUSiMqqjg9J2P5um8S225ohZws3nWXwUm+438OkQLITUTxZ7ELdUQ6ewmmZorWTi fQQOwse19LdIWsU/z7j3pBQ/KKH9r9VFdcQuEjN1JQLF39jRUr1SAnOLNbH5pkRnTbM3lAOJ80aD E8QPwSC+y6CDcsrPSFYjutIrD9rr+RcMz2zKdhNNsNtcqrhRcpFp4Y6Hjr5mEVPY4GO3TXCoC0PD YnuEaZoZQ4mGXpnwsnRMNRpuahn5W4EmVeV8ZB7YW0AdTtoB9uMsK0ugYyLRV37ygCHutT1oF4gI gkuc4DW64XCT1XJ8YY8EOZh8DbQzwYeh3QP5NHg2v0KWQVS0r6fsZlPzo/CP1qComXKkXbNlqfml rozj1hKoFbvmUQdjWCcpyN1jTHlBubVQ/eLPXF01QkEGFuMZy1PFgvtfpIvrx9MacWpEAZ14quMF KsZJmbg5qM9dq6eNJ3iaLz9FLl08usSFybv4JYhKNo2AL8qmnNgEvzl23M39O+1y2HTfIv77RJRR lHKrRq49/Vx8+fN6KH6ND6Yg+TlKSF3SGR0BIe4T8LhaeYYENs68tnQK3ev72103SBeRP7Za1TiZ eOObMwENKeYy3XaLInuCf3wVv0BuANhlu5owAICIUvbUsOb9hKdps0QWEcUdPKL4XnqEzB56XKHr 3sa4/QcFRRzrFhKAbiZZLBGFIDrAdAqJCDTAYGZ7/OA6U6XBtk9WNdrko0Ygu1gm6XHTWHV93THe XtmFZ5whKFwSpzaho6DBBVKnQ6wv0tRof9PyozQx85KAijnlQUzmhtAseaqesyhtNNY+XAu33Y1B nmJ+Rx1TNjT2iPWcBBdRs6xmSH0KC/UC/Y9xwEoe9PKl9xY7r18y6t+J3shFsNSld1lrLBMxxops uX1z5wOxlv9Q0z2eeEawUo1MM8QPAEuWPxvU9guu7Iq2xgLqvXedF1kOzqONnLRieOEb1PkkCNl6 8fCxRkeldSDbPNe2GuPHXzcTzyoag04OYPMr3NF2LiNDMesZHElCESn8De8op9KvTfwQEcehKgJ/ 9e9demciNIN8xHnYZN0/gtnhV7mZnDX2c13Mr4JIz/uxIkpnw0hwcSuh0FU2oCIumHDWHddMaqgz rF1R0ebNb8/UfSguzYm/agMy7Wm0tt2T4sIZfOrGyoaApzo40ZTVMXsmuEDCzw4rl9nE6FmzwzHF TzQdx3GyUJTtPqM54zq1EWSfDnK7FHMk2sJy+OYxlrowAW8dCw275xUd2xeuSYiO0CGg6g56H6un WDmQIcm/2p1LvXOcOwnAWo5dMQjzHMmuHwvYT7PdhkaLYRXB+kE9VjhWzPVwxyaPR0IjVSeYqfqh aSzcTwwbFWWS9cVBJGuHBAujggHQKs4vIyO2uu0EnZPhwlyR3TNUMzyAtJhE9d3Ie3QaPIA9Q1uN ZOl26dMc6cu6c0vPYyTyITFNIXFZVKGD211QEua9dNHG30YI1kiWoqIyCuAE38ri9YCTwi1xHFXO ijcQGv5d9TK3TviELY5msH3ZbdwMt3oy/wSb+KcFwHqKl7jfmZP0cG44Tfb5f+ZolQ+qVM5kXTnN uSvdxgnaZUFsL2RNNeXUNeyDUZHPlMaNJuIEAaOP7x5vT/PPjGbirM4XFSVtavRzLb80TVsNAPSG kZtCyHL6KMlDVYgOBEz/0yXqLD4cA117xsxwGag+GYG4DehfK3EZQcAJxkOaljZobUi3IG06iTvS ENf3jShMk8B2G8/Wn9CyEvgkytHxUTZjihmsjgkJe0BvTi9FFwkv75P9a1090V492pOpMGq5GJ/f PUOZcs4ET6PHGZEA9+srlQTYF72stIHnBWaeR0M0Ot/vvCVSI9b8gSFWXQPsSYnQAa0YdccKgWHS C4iRhaBHAoGbMddssQXIEeawPvX9AuReS3xBuNL33puBdf8fCs7KZgGeZhRQl3BdY24EUxHmIWAQ uCJ7VdkpT5tBzrppJWcPL71XQkQROLfRRwBrHVAii/vJIkxSHvoVCC4yEOyTuvy70WiQm9ih9fpg 13rC8JRcWX/jZztYk3j5Bd7qTD60p+34z7yk9N4C6hAEhSIUjj3DfQ8IJKsS1YEuaWlujeBw7EUi 9Nt8L/n4YxI9FAc9avsw5jKQqx7wX43D0af55smtGwSwHYUNkAjUt/j9l5QfYuaKnaBRH8B6cZpQ 7GBVNfCdGKOFxIwkyIJ3Z6ZW10yBVZpo3XgaPuMCycptNoZ04Sg3Ky1Gc+SzA+x5yyxHSxASBrCL Ogxf1wt4xKmTitEXClFrtbfmtDWdSg8omTemNpaXXVCzSmN4PucMKvgWcoFZc16Xl8dfjQVMqFwY LRGmtZ/HUNuQovOyw3KMnfSjGa2wZmbkRHsjSwa8Xt+Szp6HFuQnuARIM6qg3Qyey3MBnF4n6uf5 5/rvkDzzQTTD992qyNuwzesVoghoQS4Du+R50r3+Zf/NMsw0gVkbtS2/WJ+6sdDxu+zQDE0h68Lg 0pUf5RyYBZeOC9dkhAtjH7Gpp+8Cb+wuPLkT43BKIEEkZfTS3JrTBOPYNpPGTLEUm6FdtllC28fS F9+qVPJmg7Sm3Q5aLqB3On+PHhomORc/ktfBpYFeg7vC9hi1S+7QPSAIjefceBm3V3gkG4wzs8k6 srnJgtV4SRsw0NdBZLLq3hs8WcZ2Du4ZGbHmLkgsXJcY3vT491Xhs9CeKI7ZYjBMeUipwMD3t0Uy s9gIqJx2mW19MQc0qzipkiUBaV0qi/L4szua3YkGOr2VmR2UgKn17YGAnfb+xfR8okLxwJhppMT0 BDpD3cn2maaW2Pxmn1XIjzcYfBOU8s0thojzCIVHm2Oq7aN/3C6q78j0ZiWrEhYqhUMwYWCtWrso pPEAqxYnLq+2lnSctnaBch1kRsQ0R/h5kaxa+owxfGpnwVJzMTVmNdAtz1oiH9rYyMAd4Z4KtNBU ntCI9PyP6BdkKKb5SppKeWcTPHiB3IAysTJQjP+QdQdCbOaVCFtPvX4EnT3RhSlxwxKXomFgOC8+ xXKMuUWYleeGqaRNUXpBbaiLjFGDt+iFpVh9a6zwgLlNNDp/fLO3EN3jk4dgn//eQg3FTTGYwBfx J/km9uBKrJGwrUbUNYoQn1qMXVfaagc5YLB0pJulwfgGMNgG/KzBWguqmWOkO/HLmDB19/WhzgPE 7qxQXDlROa8P0aQheBe5uKbuEQLWkpzQUeXvZ0ErWNVT92XA1kyhUU9o+k5jwI/XqpubJu0sOihe 0pbA6XEjHlYfR2Fd9iIIG0OX32bpEtbnqAFFQzf+VZtmMX2I6VKEkQ49qj190To/+V4zJCCw9ECe FDmOAS70m9QJ3au1NoI0NSxQP2gBAow4chWIoODbAJt7weCLJ7kq0V7i0D843PqjYcXp4yc4JevA UWEexijoXQ15JLss62rTcBKC933ypO+u+3ptEtQUvE6fw8qJEC7BYe0SpihgW1uFfb3Lz0hKoCJa VYhQOBjg6/mnyMPoGVE/WrO4sB0eeUAa8RxJm/Nq78ld3XKHbOOhnsz6Ef4ZyvVBU27Ez8Y6sJ37 9whXxux4KrSmkRn9DRj2G8HT6Zp43/+BGP0VCYO/r4G/J3S7LbwF9vazmutW+HISimUqzDgD4Svj ybGyvP3er2T/uZf66ObzXG2UIbCy4zmnghtBDN50Y49p3bHUVj4isRdfVZPbffXhmjeAyK8eQIcH m/BopC9Q16n3CPRqPTNDUGmgXooABfdmFt5O5E3EBZcWMwRKiRYJQTSII77FLO0BKACU4PlpfgkW 1Xw0uMQbg0FHu7Tx5ihvxqJykGdZukUCID/xrdGTT+i2ByQwxRCVLtZAel2TxEETyZUoJrtn9ZFP y/n7w8aKvRny/KvBXIR/KAmstTR1xjl2yivGyLJ9JL/O2MmwzqaAwr2NA7WQ5yCPbIYCBljBYxzi Iv0pLep8DccGFuiM7s40N3zzCtILM70bMFkqY5aC9kKLum+q+h9smzbRF3H0NaXgUGmmQjglGG6Y CngZvV/zw/GP3PLjbbjUdaBROdXnnE4WnvcoLxOOzFSoJ7w6TsIaY6BlFi6byzJrw+sGQaTk8tS8 4KXJNDe2L3nXVFEcfFGERPwWfADgYH8F6uUt6PENBXTdFcRU0ToCXVa50pxnebQ9vG3N1BCrKxqW r/Qbs285cHdkwdGNWkS2IpevxyHpBnIFV/oOqnkV0NLkKLeIhnJvsrHaQa+UQJSoG7HnFe4AvOg1 YuQ+pVECoGrNOnnZ5fnmohNhW2dF+fPKUan7SlOJIyIq9x1ue1E2zQwDgvaouG56wQ5ZZwAgIIJ3 /rT0874YcbhqT88iuqfjeOLSnocrji1k16ewLTzodaRkRtEvZKgqI/6SrefWgCwuZv6Jf9gmf4XY 7JxJbmFrsWlA2i+FBUL1fj0Rk4MQvTEilQRgFl+ow/td15b+pJJvS2uv0r9kfTctZlAh+qg+C7VY TN3gLb2/PUJed+1zaRoT7NLcMjDSZ0cJM6chKgLRlTT4joxMS0W70LDQU7+n2scC9dxPRix+JDRR 5x+iWccCChITfxLD2wZ+XfBz1evNuqyAmk/L1X/+LrPGB4PI0oGiHtS80AthUSZBSKlLiGap4kHb MDZS4d+86o4ZsVf8b8NJAtTd1xrGtgpVYi3fUaoZfeYCOHHbKePQNDLz8SyMmApysX77xYtpgegf rEOxBZ5GBJ5cCy6x6JpkEQJnAaM7sjeqPyr/u45KwCB8mm5Rjk7xzH7tYBvK3wi6/CzkmuPg3nDY BdonW622MDzG4/6JFDIaqtp+/99gLWdG3RzkLVL2P/LDE1+6AZt3eyTsMRDnRbvx6Q41w4gqCSkX TFg6k2MQr+OAnL/I7MVa5QqXWFpovbOKNdd32XZpiJgjSs0dakjZjqttY+0NFiaNJzQTPp5Quya7 rZeEILxpYQloY5ZNYiD56fVf/JZ0xb7YSdPnemydxNkRDmYgUPTbf0Y1gjlaExpk0kVl/K9Q2SC6 Wh673DmOCN0SjygRWYVKSfhuCoW/QdalEp7ZeKj/CyYoLxgSP6D8oqiQCPT4vn0I7t57YNzQeZ67 CKl/yl9Osw5d7bsDbDlIrw5FIc8H0iYB0DPsV0a2zcqeMR5MLBw5zhW+tJW2h6rH37J8rZrYH1j7 IFzUF1J2pnNKZ7HTWYlMvHYXvRvLFGxd1JWDH92a0Wo7SU9OPHmO9vFLPOzeax69d2/mJ5y8n1/5 sbQouVwcoss00iBbR3hOT1/VV7lx0ZhjK+uJXtBZm6CavvpBPFoJfVIHk+LmGr8ZbnGP5zqtqSn6 uSBxnpdvBOgNxaRPLYQzUGWYAaai52lvaOOiUUK9BJxOodTc5VO01R22O7ue+iLJiks/J4GwsxGi lRpiC8CDbHJl+cjMicMTs7UZ3KAEXkAzHc6wUDPAkNSjaEc7mr/dhiV3lIpo3uvSfgzY0IOIj/HS DHw1JkSwGtrE5UtzoJrkuqTsy78CfNQekFMJ6S7XezOiFA4hU6lW+hY9gsVwkK+QHrsZGVrzUyuy I0gQG/PJ/rcwGAtuAl/JyRv+BOIeeC7EaLfvsUob88wds2oXAoOd5gEKaGQYT9/1thGpRnuJnBJM UtSy6O2fMAXCgWOGZzXqVRova1sjt20Xt3DSfYE+l2PZC6JV1opppVqOOMsyEMeqmZYu3Y2W5yhq IJEH9UC5YaNCBdaUI9C5yv+wXmMjTSoApZjsf+7jpFCJe9bhOH5Rd8h6LjSTER3ASgj5t8Ssxsu1 uRUhE0GqKmmYh9CMZJHYRl51LQSYmEw3fgxbdLgHsH4puoZfnM/Vw+p8N1/FkmTsjVarkwHINjkl 7pVI0soFsNLhTWS1pW6qW/YvGk4PywARqjK6l0+vTtqmB/aFkEL93oVc5IFjA3UuriET/m+6NC6x eb5g3/IeRcicV8e3k6BC9RXmeNP/uAQf5YPRi38NTSUdMK+A52VfhafCz+LKICtoyISuD9CL6oAW WKWKY85vCdqhwYONu3n8DGrI7DjFckpaoutlp5h1rd0+8lLTABneLENubKh19VtuD5nFiOywmX8R dWi85Y3G/zOddKi35my9JDceTukKYrR146VGIxlXnpdkT4CaJMs6gsAKCkaFFk5EVzlvg80dUGGa O4BVbwUZ/CVAto42w9fLVAJIvQ8S0ObclGP4yOmo3hnrE/tQs2TkavMMHzBUmsFixcUuHCazLPzB p9rw02cIeTUhPFZl64oQ3gF3Fq9Coogju3/PvYpfIXrF2gTRhrkNBHXE3gg/D0beyVXxF3IbGuaG zHE83onBONZg1J4qEV7A3uNH86szmD55SDqFPaVFY82TR8mi1wwGVSLCbTKaSLP/HIAZdj4Z5dza MtUvK4iK6FfzHLN1fuuKAQliEUOUQxXchaw/2E6SGguNIWV+IoULJsl69ZLTarpKhc38gWItasI9 N/TZ1zKbIzOp9Z2ZELcALvE0FHT3cc9ifP8jjrwILKP8iTAiP6yeSuic2orZYBUhqL0uV33Uoaof ZA15RTE/kAE8EWIASBFaqhGmFZHQ0P2fFbRDoOujnE0z9AYWbjFeXCM62SBqKiJ93r2QnZMSxDts HoQ+WZQZKt9hE+Bi/s2iERqDIzDo6JmPy93OoDPX9/V37iz+PDSOuCtK3vgB9ROpIII0gu7hW3IQ jW5baEr6Kvu4IkUOCaUYg7OolRtgSeIvBsa7O6ruriWAb04/+KaMZ5BmaKixlQJ3EqVdnRD80EIT JpDAQe6oSjf7yL/gE98cCuxUo8X1QvwSMaTFH757TyfDL3yV+4geEnKTUk4QOXd8h5uTUhS47n6U Q6QMhiJ6f1awBEz9NqSx9t7zAnyLetXMNdYYlSfBWkQa6ZI4Ed39BAC9C6G9iY4igo110UkqN6oh IC9eihEFby97EB2H40XjjNeQUYKArW2/I+y8knR0Xr8PMNYOC7i/3i0DJ1qsh8j2zF7fEPvefKGM k+LwAIUxdeg07foO9VPDzPKj/p0+LijbE3JLCV2NvhaPuv/Q+Ee9oHhnnFq+ph35nbj1ferbggcn AOIMKdXCtn16zq2LNVATxbu2+dRoM+aqLmm0VrSJOSl1h7XBqpVqiIOOKswPtzfxxOMwA3zwsePy DaKHVL599UknQpsfEynYyrqybIaUHdBcM5f6ZF/D+P8voy1Jnvv0zCxrxBcDmsbgft7BCDalKuZz Y1XL/C/QQyLrZJ4Ckzft9f3dPX3fATB6LousozFydK+JrxeA3tnEu/rc3tRfbxoaH6WRbvNi2xdu 3PldEM0PktvuEyYOjxZz4UUcRiSp+jN7JpZ1rVgE+BShLg+pScWV6+dKo/ubIoJ4g4JhJ40C1MV+ kXGtWmEF0qLP80IHSh2UzMjlnUEoZPfRYTXBT1v9iZxwk/aptRnzWfirMqOfZBGILnd/PYvFjPwS fs0nZJt8bWsgBb/oRTNf1QxTkCGjt4Y3s4ZgCxbtk8LPh00TZh3sjspLFuiPantl37HoBY37K1Ph NxoxaDqz+Ui/3QwEs1+vcn9+5qhrD03N6PN3bcFKBKj/eMTXhGCksuarTFiVcADnsCkpldu5UwoT b4dyV2mU1b9SUrQbUbz8Vv2szkFpygbPZRlmJJrOj/GWGa1jdfy1tWKBf2um9LRn3mjqdQzRzFf6 VL/FKt/Pn/7xnbo7H6cjctTJ1URa0s4t9ZGsJLm3VTOGQQqyI0yGQYu4djO1FhiJ2IeaJ0i65mFg Wr36kwifgufu13Ujj+vshLcYpFnbx91oka9SpBlBZV1ZCFsi7t3GsvErYuEMWWQ9luSDewPuDPAh NT25GnFX7hbXbRQzv1wtQp6uPZ9+SDCo/Kvl1euZWtHurj1Ce50qlV6ehLpHas3Tj6swdK7rDq9/ GwSZaoZDQMj1+L8gnxgKZ55znrML7GZOOfiCG0G9pLI7C1liGNBN3fU9tEUBSWO7w1wIdxZddgUT 4BMtuWvP//l1FSKRMnZtvsU8XTiTmiCEm2nG4KKQl57UTMbD430rIlEkjRdGiv+qdi8lSSJam2uc MP2Mlo+qppt+BnI78QMcbsuSRyCmkHwrMm8glWM57IEVgAtfJXCSQfQgdKejZn9iq3mw8sSG1Oh4 57CHjdSVQqUMPrsuGQhK/ZLHsZFlQNdfOVUCckOqg2ET52iEOy2Jxd6f9wGpOb0+0zTotBLC334y SMJNurcBOD/muyY39lk1E4n3N+nWk20k0waOadB1tH+EwwER2aSNYMvvbBP2vmxxP3Zfw3THXNMx 7kvCy5gak2UmQTXLUKnbmqD4Hu9HZGMoc2Ia+IVehAkkjyAXSDudQcRXGiYwP/kLhOcHlS2MxpEU nqw8RyTJ9JHftY63IEnPQyR14bKNDztKj5SfHzZZPOFzWKh4VdxyCDoz/VH/wzzhMRJNZR6vqOEl i91V5tTDTK5+UwHcn1u6T/JojwgFavTwhxyEY9dHAfIN7sawjhKvaBuqVF44u7QTKB4sLcNVy5yb tUxsn6SEykQI+Xmzji812J0inrkvWiitLMAjKWsgJCAjSRQPtrtOXCyrHQkFZX00nKgozidMGjH3 o2Dlbp7NrF36Yf1cjTLsNDLzo94DwCmWhwMF97zmGZzIwsxEOZzhvFTQrolUqED/KpQumHAXltfd wlQw6EL1+nvfIZu/DE3K/oLDztTb47JQtCkqG/ZC5n2aUhv2E/FiZDaSeEIo29E+OoiqhOdyZhxb XnODbZ1fgr789oy0oHHaqEFSeN5pdX/zKkQmGjfvIa4fYn/RO+VzTvm1whVcMNcQ3QmQcw4yv7dr cwJYlFFi+Z/ZLCVu1hJReDsJcH1HdMnqLDmjm8PqYzY1x2XSY6d9P3N6FSEbOXKzNZiy/eta6Fbt bjoc01k17gqTGiCl7y8+Fj3reMyrlzHYd+2I8b90S9DEIZJLAeqUhyihHKEn0HZcCrjCA1O3/WUi 15ALUCCTaBw2NopYGVSNlHgyoEvI/5lt6DhY5NSjb6K7XLcQuxNPfyzXfZy1sf6uU8Y7+QAaM5MU obzJwhxDD+QqKyb8JxH+RIi2ZNGTgHs8swkBaDjUxj07fCP6uF/qWnqUwXaXyXEBuUSXJAHTGfsA X+teG3eL5kC2WbfCdL5bGOBu/q9bmpR0TB9pD5QOP2s1NZHM/sz9MHuCCox7N/HoCDtErA9/giZp +u1dOssNhIdRrnBOPVUQ1sJyRhPPYk6lvbQKRl8sfIcvVMv92A727sEM8znO8V44N7Q60d9ZVN6m mS0BZ0okRY28jJHCuuP9xFeB69DxqPt9TUiJeeGGefl9WRf0gVSFKHmVRGDWLA6c85337wnyhBfd dpGcgxGBDAOsnIawBcre0Id8qB4ZARD2IT3+3kuYHm59Jh0v21c9mWli1KTKHgP6VtVLk1SRzhGx L3MAbgn6qxxBVYOGDdS36T/GuZzUjjCmpts7ZCZYKC4wCuNkPgiCsi46BrJrNp8l7Ov2xT6MiJjU E2R0s6Ye/pQ+1NVqsF5C7wAWBwat4qPt0NNemVYdzWRJPBBKYd5S4xiu49RteMXwyfqi5ErFXZgT i6vzkr3Bof/X6hd/tHM7zoo0NKzDNloDtttFY0JC2Xsh9z5urPr/aXI28j4Iewf+OKtl112xJQWD miDKeyArh0psDSXJcKCY2aLT2kAYcSKSfFgQYaaMFer+MeKUu228ipYsEQ5gQvPcOCTPiwKU5nRO TP8wwNgfXpIKK+JZ1rrrcBqMONYXPneP6Za4xFGAlQJRNZUFTZWywwZ2Qccmlx07Bc37LUk4/hok HeipXIyBBkwlQ88j2kqckjcnXfZasUAlokrTXj9yMVYsEt+izMaQmPjdBNFb3wU4p0+j8vJSsItQ p/8HnwSgF2IGqtfUla7bQZThgxeVaTjPJNuq7PKUE5fwrnMgnkWHDW1D0suRZb9yI1UrCGJEo3JO rd/b/G4tIyqKZv2SgSVSh7VSm8LRFMmC+Afty4nn1CizL1wffRUbnS4WSCxa8ik4lX+AcH0u3MqE dgRYz4Sj0FBeUfn6YVm1bztZcL0t5hY1e5Ffyg9OW/L/yLmHBfPmobg9tI1cHQWIdWmMD2qWqWR1 dGkaiR+6NJ2B0EyGM621DnYkQ2gKI+WDh/bfgphcOP2/QoQXaTTHWVf+Up+hNRaoHNxsgvAQC0e5 ZUA6jxX+ho7sx22c+Rb5dS9wlMEBhCw8gNzLL5JNV6Y4kdOUH5AnULsmZJTNf5sxSfJCcyGrICZs L5eOlTcRiVjmncOt1W5ka8lD5GzwzyjP9NTM55BPh1j65sygcZ7NquVFVmE6jnBrzWI4ISu5w6Qj jmJeT/Yoi6e2La+7+0g9DZyfaewfWEK6h+ecgZOE4OMws66XqJ69cDIfrHiPv7xzQOXGux8pQpFS fHLGHZ4+B8pPTep2BZJ/YOUUxLnjLig+cMuOW1WksVpMg2SuZp6dEqGS2Be8klh9IXA+ozpwSaml Vx/zyf62aJkTT0K/z2lfAdzCf5V3oGpLUW6LLrkAZ4XpwtJuUBMSdi0cza5e7TQQq3W1zWtN8g/m /8IPlqHKYJ0DvYvUCSPyaMRzKSkinMDyT8iWwgOt8UUTIykzzjWVavduQYskc+o9ueUI/de/Ot1O 9zynBZI40eDTVBC2tF0gg5Ctpmbv5960nOFLH8C2oi+qgpU47TBjUxORyAaXWu3YTFkTuU6OSdzl 8J0jmXusIIsmTDjlRer7GshG8gApZ317vnwJsCVc0Sv7ZfdtBUjM42Qf950uJaIcxLp1vne5cQ9N zuLumuXw/PkxrRF2Qhzxq5fB2MTGIIIfaMq7L+aC/YtnVui3LJCiyzlZ/zwv9fi+i5E6EjT5gE1b YpbGrQQF+rkJuFt73dS598tmQOFJPs8rhneHVcTvJPE6wDXrM4pLx6ipztjd5fkDf04Dlo2F+1dM 0gbfiXs5VnS5yCa/2mI6dR9i2S8/+GsPtmnK6blYdeDnwP1OEq6IFM/sH45/gBYj6h4CV1Wf2HrI kytaoLqIaL5vTkd48LTuBlHO5Bpc6Xp1OQcj9ZQRlkSioyzVZORk4w5lFiwgMJ1LMhKqDhZAWhJt KKIbGOddCUWGPdw7kmw9oVwmJaD0/C3qn3YoE7eeg3ZTm6s2vozh76/BNoCYml0akVb2ER1R5SsE tFo9MzMlKaJnQ9yJi7waqIESCmWU30rfN87f42HjZPDao1vy8Xb4Z27bxY4SkfrlbGMKi4rmqo79 gCslWBZk0fhyuXxqWjIgHAKD6G99cjACVi9daSJO14MUgVNNSzZiT3EhDA6wgMpn/4YIaknivCj1 KJtm09oS8/MiGtPa5Wa7QoghY/GzE6T3gVzftNHkzg4oMWqlQpOnqRrIRVKOHbpL2Va+FBvu+8wm xXS5daGLEtQvcmSv1nYIF5EBnTXA4dArCU91Dkqv+vJgCVIfdehO5u53lSPFMCwFZ1ArX5DMLGDU 5JEwDQL1F0oDXG63ZhOF7zgRaCVWVvSDOpObnFKmwU66tRha2zSwcfKncppJfpZWMcmNx5gmjuGL HPT+Rg+0jrhadNPXZC57BEKkzxKxbLsHIxD05Uv3LT5pDbvXzhO3HfoJSce6Wecn3KwOn35WPKjE kV7X/l1uaVp/9bfY2jFntzRRVMt1uDpQlPocrLuOst8crIHCRUK1257RcRyMrQt+82uA8i61aq2W rf7tTi5WqDo1niOrHWPh86EFrCfLF7xMBrOwanJCL4hSCloLcQQaPVpBwWtWEkGq08GV+L8W6NLt ZFp68/RtGbtAUuPPziNuGvAjf1UVrv6UMXSzGv5XjZ9Ih5YtSs1lFSgNvJUHuE1tWrXRtVfXzHuh 8zx5bbejUDZKJX0gXQ6Rcccf7ZbeEzQXH959Y980lB0JP7SbpfMOZPe0tIA8KVVBacEnoTl7jR7b o9U5Vjh2+avBvHEmsGOF8fDJ4m5eTU8Ldtuh9yfLIGAs9Q6gro4CY/qaNL2F4YfBO853TVMWBKme kJzOSKBa9cZL7KzwNszJ/E5O9S64SMylb6AiKr9zn4kr0pXF/MR1CSP63Atf4ySCDmx/+BTtiSnT LW7rvCUJVJC+zB85ZaFcE0+TrEee0CwnI8H/cS6cC3g34bkCl30HRGzfb2iVZbilCjqQbthuGp+F QPRWL74xa1z45oIOVSm/VLKFp20mMIj7gbOnvRA2c0/Iqj5DQnY7fHNbQIire7W98w9BEEW4sr52 0s8Mgs/WBNkgjgcogrR5zWIQQXy+xM+lpOENVVjXhsGHh12Qc5F41LsRSPGkDNzBQe4v/xGKKP8S cMJ7TBK1O4Jj2fJ3YihiUQtG5NoU8sIc94RcOC94NGjAQyM0BOk7JOB4LJz5K4gP7stxqXXzEmIq 19A8uA9S8rmQBJ+kAoN5ZoeriDktm1JOsniEUhI+p4/SuuA92p0qcDkFZVvrIRe3VepfQ2MpxcAx KwqIVr6wPHmXfzwJsOJ8tK4mLKHQGWkpNCwCPvz1/sq5JIuS06gEYOQHzdkLgQdbz+VUSucDQ2dA 6J8Utzk948Jx4ZibEINo4ZyQBbL5TaxGB4bUNDTgcvxphfpk3hd3KMdQa/MOhayEVlkutftIrkpf VbR4RO33TpnKivQ4jvag+R5OL7tV26yIckLQdFlTo6TNiCRLoC8vA0NqE/ulyMBFrqFb7F1z2bRU mFpbThPTDSZl76Z6F2jCNcFEuhneJEbCyn1OFEKtOOFGFGteuXhYTq8Q3bbaQ7RQUJe7PR7LwD9d RhsJMxv8pHKyFP6ard72ai9GuZVIWWr2MoMqi0xsXbA7pwIae6aWQ4nCB67WztI4b3rheGyFjCbs xWygayXw0ZE5esebMpKmXJF4WA5AksXoRoUzqsvMKBJcNHr264vEk19dv9c6te1+oNcrPADxNLcf tvzqfhPVR/95e//HtYWth71wt7Pghh3Onqa7J/XAlho2lxgw0T5yWaUlkXAsJejsk0xZbuY2qt2g scB6/kWLVowJoCpHhUdxe/Ub3IL3PKltpNIK9/z2qC38kCtQcGLEscA8fa1kzjzeEeKTGcKgmvTc 7K0P05V6U3qOIso9F3G8VguEHIIHwSbcW43aUWKbEKC+/BBRPJVxa53yaxxpurRXTr8sMzq+TT4Y z9V+2/aF4A0/mtOliPiVeDkfZk0kqp8sT9Acfl0PB4f0zcr8lpFZ7DaE5JRH34u20L0o8rYf6d/5 eh5+Zi+5uwZe+J5hGcQk2iu7oar2z6NnZ1r/08ZxcK4a3PbOWoUJIl/wuAas85MIHxw3Fg5zdIzc mojeERwJ1CBtX49Pfqp/6y/DDe9bhr+d56vNiMlzpyyP09wgInTvqm37k5JeebAm90+JxlnFOHFS EqPzKCJSJMt1fAWZpUaIQXP5ruYMy6GMskEuHIuL2qj2uHCa+vdWJ6rwOWcvyPtbZJxHBNxkTTeU voDMUCG5jn7MugDkZsTT1aDsHWLpXXg2To1la/8vHRAZSlcV6eyEU6JtTppy8H+SBMJHtquo4bG3 fpKHSonw1R9JFKVP0y4um3iqQsg8v1fT/F1mqpaDUV+VMkWQXNbLkx6Ji+ABKBSZ5uswji396nuQ i3Wv3nm2Vw64pjXA1PejLhOHotPtvDk3x+cjDXueHpQWh3nsmPAPjSVnJ70fwwJYNfsABKgj9JNM 2xyvro1EniKkZ3jnI3+0cSsqIvVU01SwO90xl4uxb2ou3yuDJmYuFYGnIJLuwf9IYGAfwTQprTxX DHAAJMb97/4IE+HNx2N31kdT4gPJChTS6u8+xY3efnSqdzBuneehO9Fd68QVxlhCe+7CmtKx39wg IE0mgKnjv27+dfUd8Hbre0TqG04pDfYmtjDEO2iyFIiGse7nYUgCmk/2edEjjXDvTWCKJ1todk9K m68vvn5VXTAyXDFpzkwBuhhvnB0opOG5DTIJI3tu3LNpUG6PMC+8NpbaPASn4E1+hpQ2xsXIL2W3 mAxRsmVak3XHXOPqD0kGZx0UW61ial8zI4VLD9/xqeYn3lQ4GUcDDcDIEYeSLcFgd10qUivTuQsN uJED6++li9t7iHIDNyuNpJYNpAdaFhpGdWGLkA4DJPR9FGWudOekUstusvNJW5t1P/HQGDFog91t RpqN6xaGcb5KInUegeMySni/7xke5xyxaBv9eZPtgGE1lXO8UZFyWltX6tDCQDi/AwsVThXH5lDk fOuc4HGBVaEYfWHbJsQ60ydL7sbz2aelKtRoEIB2Yh+dfUtfMXVRxEycvOIXo+rTnVvm48jbjl/j Meh0pMNSxlEgS6wm+zG9Myqc6cm49wZSv7awNq2gKdnzPEg+rXfIHzvHD9cWp9Kh/8fqAbnV7k/A tsr5y0yqbe3yNgSYln+LQCV7wdwf2ePNlTciZeyCRB8ipkGD3fU6J6bAigJhM8a9wL0bW0U2YD5a cyd/NkZRBW9Pd8Bvurzv5S5rn4wjy6GvUM27pCaRpYRM94fSKEm+eZ+8yquyO8nPk/XWm2KaQCCd 2eVBntcK7u0QSNQctIFelHeZ8jKwcefAOZ+Yyi7WNPW8IeQ372MywY6Nq3s7zZTHgK0Fh7ZEM471 SJ1J22PKvnlOKWabd6tj0Bn9Sfzd+MlXTRjwDkqZOG/hPI5Qd5PNQkYEXeK88C5hxUi8q5LYMddD TES5f2Oi822oiKmS/Xl/j2pZC0XUNRGFJfRjOMZOeIevPvpCEmWQWQk8Q+gwt5TxtV8FQYG26LKs Ku0fuBLOtCocAXgT1Dm7h34XL90/9azOTdazsW4wnMJs5gtVRUCNfIjGrXDOcMC8yD0d7QJ2nEOG Eup7LwT364sGpB+niQA+55lV3JOm8rOgct+G5VGbwAP8nbXWFrx5AWXKzUu3Oy+iZVr4kPStpPCL 9Ej3MD1KAqTgXUUX4xqO1u3TSH82l7ML3ZxcdfQu+Bufk545cmaYDQss0MgJzwwj++ct/5Md3WDO QBlhv6g2RjYzxEipnCmduDO6HsgNfCgRcoeMmAJvE3k536anMKqj8s/gL1HhTFPw6b7JXUgpsEAY 7pVogagpJwbn6k0RD9tYeZQUjIiCpiv3i/xB56KVVoy48JR7lzejOz0Ex/JUHypBie+ETPWiSoW2 0HoG4Fg2hRgCZDcdj/oqnlyVU6f82aSJ+fvPVwG7gp7jmBuG7/jPC0DaTssSppoaUCb7eBadCexG 58jtkHt8mgYYo1vMDZF0sVRxAI15QsJ9fNnGVAQL9WB5DNusD1dCCkIkSf2AUuE1GGf5XEY0n1e+ BYyrrC3EgtRRAH9kjs+fTXqqO4GVOIB2Y2PLqMJpOYfOMYWTj9lm7dt1ilLHZ89oY3MdKd7N1sjf z1w7IQtsRPO0UgwF0qJLTD2qqYiCBJAPRS49Avy5x8vSrn+6MtRsy5fXDtPKgYXUKSTyjsIXY3jn 143TIMt/PKI3WuhUeESpSKeZQe5CUaLbEI8DlefLa0PDvZKqZvXsDJaerzhP5CJ6F5z7JDG9i9uX wXuHHOPlDAQ8Osl05kpUfVa27WsqymUCp5PmX9XevsoNv9nDi8DKeb4tzaIYbfe8Zhtp74TAjnig HIISfcAN7VCNWD7iDWV1ZSOJvOuNgHHqa5lriAW3sYPftIez50v8VMmqvF5PUI5Fb5ao+cVSLSBm GazUp+t1cnocC1CXejzefthSCz9nf6JmaQKZfvKNToX6o7a9UV/F4c+6IErZjwzqdcIRtyGO8dIm WJuKcTEtbYbAxhiQfiP42KMK/J8nrsVYte/9IpCgDOcLMhFphyVGp3AJb5XJLdv2o4qphPEwEeNi H4LXF7d0u9aFPUPm3QUXt7GFNj6qK9xDpgBaBetQiTslIbLzmgLP7hwu3a9rgsmZOhsxCedu7bvQ WjFcOVJu6EzL3RqJx0n5/CBQGyXS08NlJtMkGv2Kbnkk+EWNnf9itYB3VFLoLqkr1hWKWmqZllMR /AgNBu+O5j5vLalXgJItqdrDQviMBUFK75qA04d4RJlwHG7rYEnjMB+s15KgdERl7hNsN80AqboQ jDx5KVmJDKFpc9neye4irhnw3dh91BQfa3qfC90csIYAaoqMb9TPlD9B9xY05zFO9yrXsum3VdpU 6hdCxYvUspDmEv/YOoVJQ9PAE37ERXrI8HNBU7fwFl8eBjFQbdU/p1MNB9JNBkbn8uZSY520/oER Rm80R7Wpapl25jYrS4EJtUyV9PY5WzY2aoyjPcuCEQhnyVgpl3M08R/b0zndQsQKpo8sXb38GCck TQJz0DpxDoZgZ0OrRSyQFM/+ctHIrOGahviFG5d4bAVn5wg5bAblo11T1MrnPs2g102R1TsBqXQK ywmZRYfIArSX2MscbvGrinAscMPFgqarKIJSi+ZISiYIJRZedKw8vFihgZ6xjEdXCMthQhQ9CS9P wnfUYCsiur5gSwoCAYBCPYtzNJ6sj9e3RK3MsmUIiLQ0t2Iu9c/OA1PFEmXa3OsWt0k0GfIYtFaV If9qDhFOino13IQbxNfQa7Gc4t2qG6/IMGxzF9/1dtpyOZdpj4Pzt0QSOYA90nEG7EWfpLQEqRCB MkRUZg/c/7pnDwYiwQcHUHFpuyF04CNymgLu6NKScvstY3s/LG59rmGQgFdvqbEzBbeOsQaoEkPf W+ghgL3Pd0rwGWs/y9XS2Mlr8MIV1X/J1dIohCxx5O5HU2Vwdq8MQe/JBJaIlNJntbFpJeSt6CRO RzlSe4Aj5mh/iRJ9bSLUxi/Tjn15VWEEyyhoDPhqJ00qW+ZD0gDGpj7ksN42yL/hDQWkqwXz0SPZ /bl6cmE7q30Egf+ac1Ql/z5hiwtP+uaYMo/yy05urAGDypkdYKFXRIVLSLh6RPcDwbi4BH+nwy3a pnQNV9dRS3OUnQ9OXBqQVDemmSodeMO16yp+S/31z8dmjGvITFX85GzZCwgE74TePbWiPMVSq0+K jpZL0lgQYfyJNf9F0Vs/dBrDLjKm9Ct8pqion/vv+8ofiHui8QaeH6l639fuXkowSt41tTbWAO+N j7tFj9WRuxsHeuSSgrEJGutwO/UsTnoIIyszBgw0il0O1JLUhfwqUuI7ejsgWs+/24/0B7SPaCBQ sEK/U7ycpkBaGHjMLTYJlt0FC+U8DdM0k82rLeP2a7ugRFINrpfCNJv9u4D5ADNjEurCQW8faLfB Twr6Pw5MXw5Y9/dfGhFmp9tvO4+9zHxomStsFpRaJYw/xqupdamKKaVMJjsj2fvI/3HcNBS047Of wr3qmxrX/w23ENbiE0X3cs11oeJW5zDdtgPgQxOu7/q8ennxpjx0uhyGMjnIsmt1xaxrWsATtM2J g3t8FwvQ2jcimhGD1gPLciFekx2BIdHQ7GcOcyyiYd8uCIZ3tDkaMR+7WgDHlM7olzFb/Foqe4Bc 0qnMdaThUIhnuYGPw8AjIaj/ZUlFVnlk06Pxh8bcKYFVSwou65wHinkJ7hQubcI6WEmkt1TTZKK4 wO9Ne/65mKK2xQeJZfTUoOX4Nz6nGB35d3D69aFjPdGersZ8/nmOR2oI4XKPak6rih8F8QdnHZI2 ZqJCvEpQu+gVWfUz1+v669zFHS/2BF1Ob+5BQDV3AUiFajMtNJpwPRJZLZC7JIYb+IqOWuYI/hXK iNL4wk0TKmE7TzN3r2mMv+WhegC+wlfcFDfyg614+fGRtFGZ62L41HfZklR+Dx00iShX4+31ta3a Ep0NwtKn7TClfAV1XeI7PGn6i5bfEEwbHubAF/cs3PJMHjW+5CmsRUNTK3aSuLHP/RshQDLGpRnp G2cNWcrlhY2MArkIOUFU1MSck930Rlnc4b3p38s4Pvrd5EhZkjBlyhGps+nhtYOzEqlxCiJ82UOE n+NeSnnqZZPa9qJV8gkOD7DmUdhzNo2xeifzIhImIXXRO+qZR6lh0qxQAhIREVcDZ3gkV3RTCJWG /766+NVL1+yeU1w9xA5kdYNEfn+x95bOj/ulErN240BlHufYwuo9HujvwNmXKqKwUARhQAACzKTH vw6GtCfIrYErYPvlmC7xao5JYssH++hXVyhzOhkSmkLGiku5KuBMbclU6ro15QJ8H/x74W4SRtRu OhnDt9XvwtzYTg85Rbvp17N0pujBo+CY7EiqJl0Kx3dWJfJ+BpZnoWEiUz0VVkLNpcWoBV8IsgV1 UbJbk0H13i1nIjRX47KqCFOTw7XIZkwypJTb8O47iPAD5cBl/mJXa0sP+xKDTV+bGKHp77W1DCOx CUYZ6InQfR8I+pFNTERnfQF1tnu0souqtjt83ZQMStbb+/YrTcNxjIuOdI9REdIiuZgimAaw7NOz wL/vaJdC+rxGFzmHqbSV2YgG3W2MmShL10zXQoXriXt/rlmv6ryM7xZji3uHU89RYjz5JW/61OD3 TyCYJDtND+so2SnO3rY1ez0OPIkRkNwXYPReTZQuekYXqAFaA9edJolecgYVjftQ/sxqMAsJMlZG AEHUl2DccaZR0Rl0O8WvrUrb56xmX+DCb50CzxK9kMbgX8sxW39M4y7uX13ezLOPkB5FxB5eo2mT D72YYyHKmnF1TGTNqZoHA3+nMyUNLK4X0Xos5tZ/HmUFYwo1uXxu/tLB2CwynmJnFCIvgJJ9PgdK dnZEuw5hDt/kg9oe+o91Dl4IkwgisskM82ZBj8IZdwYc1DMbNwc+RvAz176u/vRRxdmANybuB5xu 2vKokyS6fHNHh+REe1Ct7Ag+/uSSJFeiBfCBcZ2p3E4R5R6m7na4FTnIJv+ICpx3RpzTvi6oiyWE fSXdeRZBZszLjZL9+uwZLuv3lPEufmuMWaZXP8t8mSZw8ms/BgcztaXiL0ITwMMyi4ZeElHRvlZO IDtPFRjllGr83+tQf3lFqwlDFNkwlyRyt+tunycX1A460rcRY5ZINMchXwDIyDiVW9EIy7/ewzAs mHjSuyNIZW/fvdAhuxJOeZUnvlmfz+lsPP9DcKOvnu91blCokDu01UQRdRh4m1QF/XJnfNeIQHJ7 69esgtjsl7mzt+uBIa4clynTjEEfsNpBFJWtFVEmeaaUmufITQrduYKsVCUy7zteeLXvt5XyOPLo LWA6RBSV02baUuxSXyNEJah1V2BbS08GXdAeMyYK4W4R8MARQoX0DKIlDn7dMZxpPW/SeBZpTS8h u8LLcNKKeWjAhODBv4Xq4zbALf/VaaPl8bGFRDY1OiWaj8mKmY91PdJG64i5iE0GeH2uh5PpJ4F9 CtnK67GCizV0k3vtJpSWIGYEA1WxTY1Bc80cW3O3R0k/SRJYz6y8cRc459t3XVECfKbfNIKBWlf0 YNC05xwpIgPgGE3lnCYql6B6XUSNuIyLmKBJIKytiYILeJdgPRcxxFBwbu0Aj9PgmiPk07zB3STc 4tex0/jMF1eOI1XF3UDiwGjgoKKlQZPpCkElz5FMgHVgt7U0ESTshK2zueU5Sh/TbNTxgjEX1hOU qq6Jddt3dVBCDJfKFmoGMw6g4rhvusrzDGfoA1fCWHl8763dt2Emv+b4IryM6qlIPjmpxXZV/kCC a2iJMlubbTEpknqZ+/YxX+p4PjVI11Kw3jBZwmQoxnV+c0SuemmIhD8x5tw/phl/8ikyqJEgwcuh 3CJ+Sa8f2DpyVelgNaghykBvVaUWRfp4xmSS/7M66xXamXAww+1Hz5uvJJBLj3Djo1g+KmHg+qW2 AEfd3SClvl0u7X6NG2WIhLfNv0nuYgpXMTLUXmyVFaKJoqqAXPGNhidbTTNH8J+GvxGg5jnpEJg0 EqLAAyj+ccoF94JPmHIKP8hTpan/gw5cBf6ovnv+v0Sw2AZAs0efk/zWbGydG4ibL2owqn8MymIA lYjIz2K7gsx0KQ5Uf3hs7B1q1JtdSMFO1MoVkruZZhaeKlrQNwqFop+Au8cnlrUL0YQwM5en7mWp 1HudeGKvhGDvBqhSqu1Fwa9fHfXAvPXFbw/paTX14gfCIenES8cYq6DwlVunVXG3QdOHD8e1YCwp EU6L5/Puu/Vt4756/ot46QqgiEOvLgJsJCLqWJw9rGqXctXkd/goH/5j46juT98HKfvKO5NsDuZG ghWvM2Bw9wHDW7rDxO5UzrD6XyPXveYRSk85qeO+hC2tZUXn7neqmFiEyvT9dWkbJvt61c7+nh2/ e8FEiBaKYsLR/wPgVKufCvxVN3HbDzIWSGdXxAkz2kgiYLRdY8kP2zyZjZt1gl2COblF6i1qRZM3 t1j9l418jZGDd+ikG4mJ0RnL5RbKOSkYKAIwmsVo88bx4IoQTQWe1wIUep81F9xVl0trBkpvMGBW thCoufz3OZMKR+GVKhvjPJFTf+hzFwxhpkkr6iQPTd1UumiRrL5uuakwIVnS8hpuANTwuOIYDZWC Lsh0j4amv/fdeBg6XA6koBlCICXVxjulq79am3+SAT36ID73xMicUp9dbjlOu4lPHztPkWA9Scw1 2E2WBw870aVAkszly0eDf49YrTTITWPA5z7zXr12ZeQoHmmIVuXbYjqdIC3ArjhsSzt1W0rRktAa C3nxVFkDfzm63gIi9BhmkUV3f3SiCpTmEBC9EoOYz3mpVsc9dN4ins8i9d+9nZoPfS36xGGCuaCT 7aOkmYxI7/y44iZ2weeopPpnQ1u5eGoLmLOwZvLQBu120WVxV5IbcVQ/9cZ38sHNMtbFYmxww9XO nEAGUKPTikUD+I0ABR6kdGmafVJKiXvQ7Da0XtFB1Q/hJ+QE8Qvm2pKu1ltj+u90Cv3ph2R7ua5X SI4lOHaYIbo3RXrhAqS00NdVXsBK8yrMttcmt+ncm5v8djb8JgF5+HMmRxFLSXrGdLElRez9F7Pd LEdS4HoOxtBYBN/oO799hVFrybI/w66Q8S1IEcDHhEY8codTjboswNmbM9ORbJXKsF4lLbK+9se+ m+C4doVGMWLAENgMHay1+xlmBX0kIuikIBRsM28IfpXUJIrT/SH0rRbV/GDi//cFT4faj1Ac4fma aJfyidKcqbiiqI/devgZabXH73CGCKZzzRb4aWMgyXAZgjBCsrLAsR6h+vGCj8ENnwuepuN3rxiX SbK06j+7Ha8iQ9jLBfcME/j9ttzpZh7RmEbvyqBuoD2wCll9mtUYNwndj7WQu8UciYerZJVAXE5+ yd6mzAvzebzcgt2+qeceRm6iEimTeCHVD2WdE/SEN9Ca+X9bfPq+gfu1IkkV7EF81+N6W2+SY7W/ WK+Jrntzr5AYABRxGqFiUmLMiT/RQbl44Oqt7AUK29G3VHQDCE5Ii7zcigHGgKt+/qboEVElLum+ +zcNl103apnxwfVB6aLZKjr4Fcb/my+nQvlV/RpWSZldLgPCPE5roC30TFNPcW3a/1zvhNugT0ji pj1w3YYHSrNA+gSAUqOUTbZjX3FvE66966RwXo8+PDi9dOq+VR+hpelKIyT9uOHs4xG6zsx4Bdhk dZM5IeT4wBFUr1nlQHpr3aw7Xm2xWNvpT/w83xwEtyfz/X7u70Loe//JqjiLuPeNjC34yJrDmaPY WwkaAhTqo0my5PXux1reGWbCWRcg3rEa8wVv7pM5hkTxRc+Ejk8ycoJoMOsu9tgmmuK7eaOt/+vb PwJM1Ys2sHSzjIUDMNjOANX+ySixuhLTwE/RYv9wWbKzXrz8+Ce+k7aX3z/fjfvkhJWcLgI7eVXr WSAsxBCGSMRg8+0IylGtDPsn/jFGO0KrkZHCbf06uVMcpnynrdAgr2Fu3YyeiJ4Na/wmFpAPtBdh ygl8tadREC8Vv6heD5CKUbALq6ptmFL0V0wZgip8aCbbfdUAjis2kefiPbI5vh8mR+Mw9CEsmAmW bDxS5jTs6Y/ups8aSbApHNqVbfmVWIEqMCptWjkUjShrrg683SJotFLbv5wfXTBnc42S6bC3orXt ufPem29gQCTbpxjPhnWahJ/d3LF00QUONzHbPo++x05+loWLm3SJyy01q4JWauaWYfyL7NGd318/ Tikbnt8KUji421lwvKfk1/MbHU+/+ohl9ksnUssY0B15ha2/n+cVHdVDhP6Wgfa/vytWc2zN7rCF 23Hv+Y5sIF157C33tFQB45IKXSaLRen7JOOoiVYPcQ8XTjgVszIXEFSKMFTUrl3ujzVlKGlhGc8+ mMLXmIeRKAJYVQloKCGSc1uzIgT8bfc+fu9B4hGf5BJsAWc3CYsc2mzNNzXuK1sCOwFsejaB8vTB KsoljuBaiNAP62hD/I39P4rFHhecYaLI4fnftv7DNArOuXlzhQufVnWfNvmQt7qq4ZFn7ho3ukxm 2m1siPshQ7MR70KRXnKQeWa486A94fF03PWAlLa/pQunFVhsO0TqxX9gmsx2zPpxEKtW9aj++/Aj H/hohzx4yqs6R9/ABXpvCiW9WwMqsinKvIJT4JbbvjYBQd04xZyZGBlc3m3l0YE4QjjXK8bIFuUj 87AhfTouPmPzMcdQumFwi04op61ZHpTDGQaZOP0Bg3Cx2aTkH/FSJfNTx38QQw+AdEghNulPnzXc siCxnwaN0JRVHxjE3EhZK5ICBfomJyT7nfaLRnTeM+LPrcvC60JdAI/JlIp3lzorVYY5FJ/Dg/xe ajejWcDRaRlYxpcy+9SI8NZHTOx9nQV+wBOp0aKn7eRn11UM6bYTUDhkh1leOQ3p90LsVRNihxgb RuNbxYPEfJ6WIyXYo2NlrG6ESBF6yFa29j9rVHTf5psziC+lc2YHnQMqtqhTKVO4PtTcVvqzxSW0 73mCfVo2j5VrYhnxUWRzl2WZdE1EIUjavSk9WGuNIbCnu71EL3FR2PBVv/dZ4An2+B0NV3IzSSPw Zur2hwknuWt0UKcdih4zxYzuFuV9soTgFL0YIzqc1xHx6RwJmlbuaJc0mYghZeYIUpKJTfCo3xHw CZ4FiDc8l1K/5F+NRDPK9CtiTe0KreEYFuldxApnxwj5lGpEY/cT3Rwki1z2O6vieOXKJFgi7I3E T7DE0T2Cvc/TOV1S/4fdjS+HZT8tvmwMFNlHBkLABX0xnVWdKDPOWn7JL28Fnl/REHzVhrZobV8h 9WD7Nz3uFUk622dgkODP7U+EW+iwjeb/DX3iWbKDEbjtLCREnTregsj/zIHApfcDFnBc72HXly84 5m1D2YTTQQBm/PGEFp1GECmNjFJ6gtaBt1l5ysalpnr0gEjiwBvNbNYBBjrVQNyi/zgFah+z7IuM H4DH+toaEXZ/0JebnmovH35FFqnTkhDtVLBJhUY2OabflAgrRXlh7RVyJbWmLjn6J7qm/z3rj6pv +k5pjVubaxhvmDPEGi25LMm1Y6wkNC/U2LxiAJ4vtVdvDb4ICfFi3p3gApOzalsaFEPZMvwp2RZK xZxnQpkX8RDCMwd7DGlNqhicdP+DUyA82szlZsMCktt2n8KI2IQqj0eOo16ojD89m/MoNGsSiDxB LFrH+VQ/jml9GnRdoxyONu6uXZ8VhA1/RhksYh+p+L9KhXCpGhgXBix49xIMtMIwCDBVt3YusKka sos3mELfN/2tI7SYP845351BtN+/ZtI752izJAs306PC4Vsqjy+R+yQ2j8Wqjet9O/tTnXsJAIRZ YR/xJ2iUfpPwYhjE8MtF499vo6A1V6TK/mxakDYUqIwr5duOE0uI9Xg3qg/u4buiQSRNnPgrqFLA JwHAbfajto5k2DROSJt39NBXV3znrXutG3iL9XaREXqFws+tH/zgtfP25yahVMcR0S7b9wwv9ub+ 7Hrze5CKQGdDuI2i/AEebuY7pPhjiES3GxpyLuxOvhVKU443YZU4J0AHDb/s0zbGsFXPJWCCi8as Jxnkryud9rAQLDOA2ABgEERgXRz+oxPBTqXA/l//VSJNCZrOkZCVM8awFGjKGFEGG4IV7x3s6g+u zdTFtAHqdmh3/x71KC3gFddZudDklSJp3Js1WLKOR9ZIFYxt2aOFxcufzQebah1OgnX1Lc2ZVhmc mWEBbM3/g9nzHETCPhs/XNm4F2oizwGy/4w5K2JRSDh/gyrzGY9IoyLzBa5WnIktJtS/lUODJtcS 0WdmFB6YrbPgI+27aj9khLGYQaq9obTN/04d5RvD62IAHAFGe9DElstzZwBYaA75MJr+FHIDBQsL GhHIdZ48nBMAiYVSHQP39hDraw6A3fBDUkvPhEdw1gNY/byFIt1Nipv+z6vZ5zi/Hp8lH4lzdmNL QRcJMolV1DjC3NzZ0nXTJMzsSTDtjkEJTkEVwtsbxE+7Xg/tCtvTUpHU0ZCRfcelWXohp3KVcPp3 1HDXBaU6TQJGbrXJR4C0Y5EHDagIwiQbjvmVzMN2AwtvOAOHqdvz5DDg6d3gOGrtDKd9x5SbwFe0 3TCmjJjZHbJZ+3TXLeeKbLmY3KGHd4FsuyfTFUXvfM+GJlMPRgkYVvMctS6T6iKrtHKTiy8DxnS/ jdX7z/qFucTdGGGQ8s99MzYvZnAxKdmuC0mtiuMl4/XUTKIhARKht88ucdIdd7jwqLMAQHBZ67oX 5Yz5xEZokgwSfQbi119QmlD41B4WSDiXX9QLdGeyWQ9YkWcnMgn4ijdsur6QciRm7OPKoJvAqLkM 8C09F5TaC/Pc57bvnn548n2nrzMyivrmb54zAaf3Lyeryzr76c731B/Hf/BynPUayYaOl+K1hLp2 rUGhFSTaFZWgRHBkJGkTc+5mqv6QrH3h51BFEDSbzpyJDncUOuBJMZ+XDW8ApAICaV8INLraFxnA CgfDL/AQapio/UqFR1mThTwgVuwwkG6vhTv4r58nHB8N5v+1KS8jHEJns7rA3o7YQIymK6a/Z25x OhYKm4GfsdSMvRRU7hg2OYE7vP9jexfRqJV/BvKvrIFX66t9ZUw8/pZpYoLCJlZma3QnAfc8+S5m BduilxAbxtheuFjpeQGVULQLNK/bBZR/DrzDEqq9tWRoDTpot7/RU6H6/prqekL6oc/02f2zfj5W cV/e/Xf342A+YvglR3cEExVrWR/Gy7tRVCyrRgG7enqAwxqCra4xl6XFf1Y6ya97H3A+FkDzLevk bOcsgGYQyuxYLK8GR94FgaIM1XnIbNs2yYq3ZXPuOryvY1ZYQ+VxAEhAEFDUeYES5WGyAm4lCWBd Rx/wGpdbH9mOxRqqh+DdqC4eDuyTCPuq/+XGb4TeoW4eUBnlzVCX50nmuP6GgPykG3QRL3TeFuf+ lvLxfpAA4m00Za1+z2o3YxjJukAcgZ4fd9B1dHzj76NSqRfb9XOc+vldsHUCqPKFfKq8XjtEUbL/ q+QUt3Ly2B1YAMSkhpCFdH6Isi8c4H0oQT/o0Jj6RPzx52+pUZLDVPpI1dO4aYvGuNUhXA7F9NkW OLY2R9IoxT0gFM/zchGApu/8uy0f1Dj4MOSGTU11QU953honElnn553Zf/8ec4f4Fxyo+Cu7GIVr lGOaB3cpLhvSrQezBLHDSFuMz2RTRh0GgB7BzGzYBSsibm0z8aYSdJFgs5IZz0A8E7lv/QYSRjvA UYGLef7JMsVdygmY5j+AAHgHIrXY2OQlZ/K/0nhjJT1l9UXq3EdakqIU2QQh+5id703I4+jvCZ3G 0y5l2gqdNk1r+OQ9T6PB4nOrE+yWXCgNgyKzI146BaRsXbkFeygtS3PoWc4231esjVNOJjin0kuE HUNXxe8U4+Onz+KWAPju3uZOTyNRAVNxGJKisjeKa5Uq2+Sb4bzOj104Tp4IwjhBbp/j4ttmgtHw VSA1KrYRL74C26/98EssiX0lG7jMrzAZ4PV712HgBBA8N5/yHmCuYFvJBOgPRPywTa49o6UezDqa aiLmFeMiDIVDql2p3a/evgaJJTgcXIwPzjB6/Ru/20lfhUuNQZL/nAeVPHitm1NFrllGG9xn3Q0s 3iEwArh+/bkpQlvAFMQTpT8Xcf5r6KsX1IskbqulLn/0uoobnooBSBPv9Bt3mfRAItBcFq85S7f2 7lgJzN3CWxZkeQVFuYdzXiSI0a2F83cOroUlqyufL34s+vUIPL/Hl3hkSlohRfIwNyd/wibmSSWe Q5lUpMn6ofO91zPNNz2rH9zTaHLbRS32OlOe6HGyvKhxl4cJK8IkfJ4clE00/9Ic9h097s5gxRg6 E7EyLiyeaY/Bw2uE2oBlF85gSQIYBsSwo+ZWjwvqs85MJVtvG5/hygRKx8lrkgBs0HLybXJvqIjy BEuH/0zkSkO6z2IKd8muSvTAUsmG8PtFD10lojkq9PibYrL6z0I8dcFWBZJqNdYJbUYwcRd6kkt9 D7X+9t1aT0ZDfyXM3LF7bbladhAq/e6xPlq8C59qeWDCb99uGI4H4jKa8AuO82jNQDLVw+7rBGPi O6bilA45SSuwDdwtyXokCF8L7KF4HTFEpIzmEMDX6pQR5LHAUKbMLw9FFVcqZVgteUoYOekZJpDd wfwKg3NSASo6PJ7Fe56wuxNCMPoUK+XqrSuoDfslVfR05EhQBF/awaWh3UhXhuIp5TbZG+cRUEXm m98NaJdo2kWlHggeB/ou5nlJxcnGAEKBUFSZzHbKEDKiYRH9oSrh0BpLCx0xByT6xDfMGKRjhDj1 SAZay3Ib7WIgYVJN7rPhqEiLz0yHVqz/kXpieAR0JPLILxkPRNK2L0KDWt5s0i/G6/oZbuzzziCv NaOpaWJJVNFy9tZhM9/a1SMVAzxZ4Sk/rLGjLyLJnbzIeYVH1uKMrdJnEJp7YO2d7jztF4Q0oaVO ibBqjlHnVlCWyQreqPNVETdYusjysh+eWpcQQerRzqZDiN0ZoI0RjKNvuBu8uFzfl5SWzAYNqWcm F9QSUwtqvKXFkelLPA2ces7+BTdaRjw6orPKCmsXR+ZEe3L5JbBTlL1+ShsuYRGT0l0XQ513JlN4 hNsq2WRTMkbs54ik65I5Lm/1myZcEVnqv4HKtAXfvFJALiRHnLyOcTDdD8oQa2j6oGgsYKsKg2ZD etL2KEAOk8mPrwcMzx/uPjES25u9ev9jiIlNIa6SDheMAbmWw/HtyCCWGfW9Teaoh6hStFaR5mLd 9eS3JT/2EClvKANGDw/NOZeRA28VbIkLF42vmjxStZrKK0R2sMHYl7EVN8MXV7YDEkjO1rz9Ouo3 Te4hXTxBCgJjeiHUkDyi3JugqcG7HjPuz2xD8pw/ECFeVNAteVgqGLSfvhrqmxIRka/F9ZZWLnkK o9NExoRgUc3i0Mby/wIJkZvEvazYzMAA4iRln7UVCUpURnMlTNOTeqFhXaeKa5ZB2X1WLQNbfBA3 AEEzYVg3w4F/UYqrKAUKDTaDnEUD7s+JHnafpscLTF8KKJIbmfrcjgOHyWTnTl577Pt1YkvNQsZm ej7SDjZoNgeOOzk23wr6+usxH+1IdNRf+4GjXlxJ+xR89KLoUXTpuRmyswrSpF/7zEnIqNyV4cYF CvJt4c2QrgZhJaBsp6hnp/upMc/n4X4gsoDjhbRB+fJPkpLazlpj6ngNesqcTjsI5Coa0kBiaW75 CeDZIWoyplr8ln86zby1qlHxJZV6qmIAm34fUIsQKo4NkXU/pkJesbrzHtIu9RLegoGsstupbdHz hO+evP+UNYq7t9VauzFiIcBVhPCcKDxM3MX4aYLjDPct9Uufg6fAcrIS2bcyGJ8JysvSMZ2lG/ai JA52JGB5aWUE4RDDBg5YQyKG+1YzW4bbwuKebKP2g8ozbpMsUqYjd7aMP4zy9P7llXdwCoKny9pk MMUoQpgtIxAwhw0kH1bLPoCpxsnmz2xypDrBrf7gA5G5tlBnIvrGaHyqdKMrkgoJ9qxvsreRW3V4 IR9LVQZytGUCOllG7gvv6sOT9uBGHgrjRUFT/YfUe3oskdLthdAq11nlCxr7tiBSgiLHr9u8eUZO 3wP7LexsMzUNn+ZvSZ7rGLKbuNVWlHsRDP+Mih4Hh28J22lwzH0HgZJZQU1OUPpwNwAZt/CSAYbf q7ov6c8AExVhjbwCqd2AbeFr2UedzXWo1IZofISIcERMGH6P7jVZhwuXS4t+Gv826+yc+a2/LU5D plcOhq02rOfSnOl8PMnULO2zlg4r1laK6l8es4L4P0ghaiR2O04icKR2sbbDr6dpg/OE66stXiWd RpqVtlm71ot71A1jMlky6Xyh/nw9MDcUMkEyH3XLmBCNl3Ad5dsZlLPm8twZD/ZH1iCMhjFv7/8O voJLLTBDykBLwrd/318QCinvCtmC62UnTEjB+ZQuDS96rB6EWuYAuaOjX/ifj0jAGtM+Wq+MjNnA /aAKlr97IiPfBdYbAe2nM42TefAnGsoTZgXqqL0Ks7xKG1/NGge7iLWZOOccjWcXRgBhPyn4ebI+ xD90Bih9Tv7dkpYvnugnKFr6Fg2ckP6i0671Dq9LztWdNcA40qJqn6eboIqkjrGF+sI23/Lu/Dfh SnmfESNz18DZ53O/4gZkN9BVVEts7V6GGMrPe8cywGxOYSYQWn9UMc3NpktEBl8IWZ8Jc1XsdvvA mv5jJUAxTMTg2EniG9PxJ40AGlHk2/QXaXgiceyqJZFBw0EQlPfHOpUxWTJxSeSJyzKk5m7MDgRV RnVn6HgoXn2n+X1c8nQGIKO5ktNAjMdXp5/eawo9r7OjCy69ieVvY0+ROyNGHX3h1y5tuL9dyEqo DVXAWwadlbvajnyqiwWRB40HWkKDZPTnUx6u0GzqFNhM6nBT+qGydvKj+qLK24xaTTmMp2f7DV8e 4qckuoSyRtnMPu24LZBnTil8hOYPd3+DDLN2IS26zenl6dA2YZI/uMgc4ZyFtV5Pff4aI8j4Tm8J TsPmHxbuxXid3oeFbgKocfsqnB2GvhgZQBHrKp0rpn0qykpq+8KZtJSkLTtZ7wvJ8Ss20T4bYe3v cpCcOaqLRp2f8AsqK05l12za6GSfI73r1b7AyLbfwhNi05kceFk8x7EovT7TkzR+G6msIw+xE9rd VlGw1YLA0HsUd+Xs9hHaFFhj1DtXSNcD/3PiGJIKXzTyFB8VN0SGoWRlV+PoP/CNM4SIMysijW55 yYdUDbdmjy0x68sut0epMgCAzXTU4OjQ7zHbDRsJkhBVl1divnHbPOemd3hYE0RJ1hERxgK3CQSQ pVNBZMjIFn+HpHv2Er/Kz4DkAHPgaVSJeFako23kT+N2vfGOXaS646iHH5gz/ZF3Oo98k9Ib4enD 0pXN63/RM4Ub+7EjoDQBys8i72whkg8BsPpi2iqeqMorvlc3cK4AiIPWK4wH291CD/7sAbbRwboe vEW8yRpZGc8Jm+doF5Hn/96Ua/WFYrV/kp+IWMG+vrMFhYinUMN2Y9RxzdKthedzeVcP0mxP+RBA g30idL6y7jIzI6BDxLvJVfoQ8oADMDZhPZ+L2BCxCzxluygijDp66moEs/J/EoQz3UCtqTyINscw +8fkc6Ci2UMhRqCuAcyyH7XEHY7q0H/UsHfm5SKMMfALJlt6r0mLOvUIFtoolwteqzq9yR5HpeqC S3eS6mP/cpvtSax/B5x/iIra8oWfngBLcLrEZ8Sord+0DzMxHKRLaAgW7FnSjqWONSpfWvzuv8yn vgAUYYr+tp7k96ph752vzVS+mnigTrKeBMzV4/39fFBNWag2bgO1w0MSx2eznAvMSBd5VqQank+d JXVtN/Xbq8jH2BIkgTdQJGMTg7jZ6g0XkOeLYH4xJnuILzbONMukueJ4aHET+vYJ3Il0mlYY4f2F +zRe4XxwN0ZLTn6pt75npis35I0tm3BXzx1HuqLBQG99+nnDq7WLQyMNIvXamkqf2tvgFAdIdfif j6C2l6ZhM9EHgRiCBLrvWyCFCZCydwOqiWcuHNpxnmwVqzqPGHWTvIyLSUwq4IWL+IlPlzhfLkSL 6qzAOCKoDOk/7009XXmTCCxRNj2FCJpW2xFZmOjTDKHsCFyH+OkNJ5S/cMOarAUOGpxN7ptUCP69 YxnG8lNvm2wcCoH22VI9Wi6GOBqnP5BHwuTsLdNTp/knHgwjYcbNiQ0fIse3TauN9CBpsmyCTOJ7 kF1AN/r/SlRsl7Uen+UW+G5BvqBX0yZLY1rbNapCSpvY8mOlgrY+CPX4Xx8119+9el83+qLPorNr RyS+HkGiVT+73OSBXBT4H3OaWy9ui28lyxaWFGidwHEPR1RXKRhgjC6ZeygCMdfSadzzSl1Jlm/j AdwIS5IyawMOP2HUbDKhR3v/orOX59DV5kmhb58DdCpO6JOrGD7BelKWlhP3CufFZ9JXN5608r9H JAEJhAVc21qZ7Amoh+nbkf1KjPzH5nVS6IYDbAy5L2M/R9vXZMgh/nxN4wB74FQA7/sCsH3mNO3x 9lZeCNrwRdYVHG3rzsBYCcnein76tH4Bav2QWPzAT67dZmltMU1//8gYf2vajIxaqisibUYs8EEf +eMGlW7YZYFe4ubzXMjv8JYSVkz2+PXTd5c3H0kZZQo26k/+kJIaqe4zgS64J/uOZuGV9/xqo6jT 5c+vBlmrT2P7z1KyWYc8cTLXH/VKpzWO8Ut/ClDM9atRLY8SgFHbzDMmuyAKA6mYQwbJoHwPNWRu SLzGyiag6fe8KEFyL6zOK7meSUZG+60VkCVZgSoQQfDIeA8HgfJ2ArmbethPeHd3qd1tOXMY+aFx RQgspynCoYOO6oVLOFzwuP+dG0VItSYaT6BMaOMEgUxzcZR/rMo45HdZrLB7QqTfXPR0RjYZZZmt 4J/DM+NqXwIchYH4OAhMOVHfROjRaH3J9xmTBt5NGtJZ8bl7FNn05JfuC3z1ppUUC+ri6JXOueOf Fiv5z9G7mIYNwRRq79xoUplq2vpjjZi4xbhhuxT9cV8C/l+VS16IsLTOxjEsdYU3AYNyggrZHrM5 echAaogkrnodRiuWfq79I3HC8tPa555rIToZ5wScr4q8MWUa/hCur0G/Yj8HHfBsiu0RPxYXNepQ FDqi6Vfb9ruwWrVKrboqHEZ6DQg8Yj5Iih0reHenSy1KSrVgqj9soG5I2PqZ6AA18gcd3+wmvaN8 1e0rEOLvSkQw2iiAZTEGzovc/q4xtfldMD2JeaprS323RPusMjlOpk1uYi6rqH+sjMU+b8F5F71L Ugs6WQIh1Vo6UDmeEATCXuWK+JWW1WuVJJGk4jZul1zLEKij5ZdR+SiQ1No1v2lL+0Ieyn5ncUAK Ujfjowt6ed7qpUV81SjZChdAozPM5wRJ7Z41PZxM8VZxZ9y4hwpOgrggxbtqI8h3WAGVgwlEs2EV U3/hWpex5QjhMGsLvT9bul2sAwNgc4HVQWAVFGzatkf8Se5lhXHH6SfQBfqszzyjaXmdV502k0NZ 6HF5zmcZELzgsZvhq9DsEjkG9pYbgyCNk9DYTcecwOSnHCX+w/uXSNlCKMMbQEAkE4KWULggXGJd WCIlArBGtP0InDLunhb5MQF4zHdMfKJZw1fucFOMMZQhnv63MhKvdWvONvt9fiSU3GI3QDoXg1Y+ dWML9jQONeEy/xM+sVJ/zOvZPkwDTs/BpBisf+Db0Qs42ZP92OW0jDke5TUecqR+GF680BvYahFL ZHtZEgonVZ7vyafa8cv4E8ujfWqWmWi0nVqdOLggzcz2pOVrdF7mG6tm8+aOKJrcXaPvOSjnQjTH cX/o6qxsJbprvAyyY1ANTRr1UOPx1Ft0cZacLluMND/7T9aEiUB/M0ga+4wCiVMGoTDBGP9Masxk VC2lo7R2LLH+GOub8NUz0e2bKqdeK5x59/auvOQtejrl4mUuEZXU7gFY5JRQJSK0B4daD0d+V/fr NRRBu0pjVxoZu7g89Dj6Hk3MV+hbVH7GN/sD0o8DCmwf1s+aaweGVri49lzJfxFG4+0HjjLyvSB4 vKLcX6yf8taCnLiQ4FLPnVfR1Lj0MN/2fhIpmzgJGv6+y8FYun/2Ea+VmNuLOIHQBIBsGfPfZaC9 2QdLLvWATHEfEmwB0hgi4So510GRTeOmto5ouDWa/34uLzyaCyD9KRKylcDs5Q5KOExExYQjlueG AXqthx6/mnPiWqnsEsU64FzSVO8nVKesRG59Qt4hrIULJORYbEdjd2L3yIaDSvhgGxoW3ylUMRQ3 h3PYqMC/KTTUhjlVfrMtVFo6twtVbe9qurWhyCRzf9I/tYP2KuKTQ66WSOc+XUGTazRz4uJy884G qroTgWpCS3h7iN0RVhCkjR/6qxG+80p9FSUY99VUIPfh054VFQqVzjqC8X1PnI9y9coLgp0yMWJI 4aTKL956V2pRlPYDF9smK0ebAzYSJld5jggVEQKuv/4XMeK4jasi86EHkBLHSxWAmmOt2Shds+pB 8AeKQFJxArRSj364G/8I3IIqmN09WcDURxwPhdVhPQ44c9dx4E6V1+dHflMv1JV6JB6C3z9smuwJ 2ZzA0uaVBCrcQUezrylw5jLHj7SZCqFMGOXGHVb7jHCGojYPScGpGHn7UEHxzhOeA+Yof/Hu4SCq /KxG9i+4epYA+KPKigymWOkVZmgpyA6O8/sTYe8v4PO6GUAY59mT6PXukSJUkLIcxde/5mMXsL3Q fshaYUfw2wQ0/EsDacP1fMaMd4bAiX/5iM9iigQgBnlPgA9jkZnDdrg8AU8RWUSAS2E6NK58b4Tf jMH7+buKTJe38zU5IT0jTXTh2bjBmADh6ddp1XIIuca6Wum35+XeOEtLQI4q+J7Ym+5ihoBD343t MCPzDLGnCUfcxi2aoU+R3CnsP8sU2KnI1+T5aTCIsSqqjJUqaFFL4YoNmGFIit3LZvPnkRwbfnmp nHJ7MoofVPEyD3V14bpht97udGezqhbmswdJeDJugx1SH7YPUTVFKytaYqTXakpSyYTew7f808sn Eq+m70El93SpQWu86vG2jsQoBFmRqGcMHPidXBud8VYMLJavhc6GRvgMFE0efIeqLQhV6F3r7JGC xcWODXXSUvuDiAdq+4VDITr0gh5C3oAaBK7iIc9LRMY5QUFrCc+v9elrUxayuZkbI5epMKuzHrKk DNL2r/lDi4BUkcKiDg59KSiIZTp8GlflR4E+2NDaJ6IX0QLrH/Qr7eLTjlisN/QJ4sc7XEy/gx6K Q+MK0/+L7AGPZJlgVRoxk/U1YDBaT2ECboZO5r5HH3WrVpzvYEiS+/Z5zD7/9M0uZhwzvlKfIedW 5/5O0irzArUZ1cIw89UWQYhq60oSA9Tsn/HMcnQRPJAozfNa+1Tq6DOv3e1nf/d4fn5z7BQvFbLg TZ86DY9+5bd8guM+0AWqIfK36aJrUucuiMsR7U06UdL0LoqwMi31VhRKvjBV4U3q6lWO/3Ku22NP uutM9VkTaMXFD3ai0KuxKqFflGGxa5uo78Xefmi3DEvgZtZItNs1d/2ZBX8mqvOLaIjcaP235nrz SnIH7W7vby2jJCZyVtq7ufRm2c48WuGzpoeyYDpq5Aql0+UihABLgUYdcUmBSIGDvWTdo+rcNS5z wv+jbulpvbaUWuPH5aiCKVuzeY4hfoTlzJX3vqWiuqNb72mbQ5IIBg1rO/AD+PVLVq2AE085q3BN kBJzY8AH71PIcYE2DLFGKQF9y14oiK2Rz2GeF+JlvRpO+6z1nwBsRHW8usWwTrxTD/IwBQVzMJmh 9bUMFYe5vAIJGe+Vmho3O5hoM6mxfmKgdwoSg6MM+IdWrCKPTSsBW/bOKYmwNUlOna+oVK5vfQz8 4Rchnd1RPQk6Nm5ADbOhodL5ubUDv302w/lJh7Q+xGlf4zxPynKIqRpDR1kwqtjrwcNdhX2npZKJ /W4HEG9PKOnM4Hj2kJedt7z1mk8i+xLzDCG+KeM5YoofZBGqUS6Ow4Cl8vBPLjxQWxlLTSjbNv2i Q47B6QGM1CBQA0J0BfPMnD+lV7/VDlcGvCd8qxD5k7So72nWqhiGN9z1QAo/nmy1o76JZZeDH8Qm w9YhlUGVx4h61UrHbBGJzZC1Xd1cTIsx5HUGFSaPgDqA7j5gBXiWM3jcQpUZn0nSoDUtKiHNctgu jtmdW/Fq/AIcybxgPR5qnH5v9V2m8Sxlg63RL4cZqhfyetKMnAMAs+saIJciIRucm+Eaw3W4Iwx7 +ilqrWOUpuo2M3qVfNoDKgBb97Aycy0Xj66COFsUpqqGBbOLVxa4hKwQdn5r5khS9gukltkffGRI 9JJ3zggSnpXUXuqrhyZ6DfwuEKyrMpTP2sV6GmN0uLILR4iyj6NTEPJ0yuXEQQnuEtryNlxaY83e IOV0X426xw0A9nPQDpEMUaueMXoNGWBsRyl3PbL2K2I5BTQqY5WG30adm4LNdKB3O+i7Oy0amsAW WN7JR5lBcUdTT18j6OFAwZPN7UuvPBQRO4X33b8RwX5m6lA2WdA2MLR7NNNDUVhkyzCwVoMzegPs HkUh0f0+6mZIpwC6czOz4KgLVPlDNvLajAcqVuwM6tbVic5J5fj9Horhcs9IOBYd2WLyPJglkfjC KoV0aJQA7dRWAyMIaw+JUCLoH7vYE5c1BdAm8+1d/kUw8GYq5VLr1SWnL9/0p5b8etJ3RJxRG8KV jjEPNm3e1m/j7oYJZoXsZb3NOuzG+zvHrGqjAX8Yr/Ou+Ixmgpm0mAFmn7SNP7tC9i7Pm9GsS5Il jlDJmNRIjp+8na+C6ZGX0bwgzgjiaxy+h1Ip+PTLLEGk9pJhEo5niiqNgc6wzgqsw8M4D99kwbuD 1Z6Qrqk7K7Vyw/NqHzA0CFoHgrsESV0k+5xgCgeoEyOOCAd42NuspsgPb66j9SfyttJ8fwboXsir CYJu6l2d6z/qSxqr2eSVVGxEcoQnb7vigaeEknuxOouo3e/KavvFS+Fgbj53BJnq7KnC92CMCe6j X3uPxUpv47TBj+XNJI2eXnq5XFIOwLe08yD+CjQJoblPTtb+aNYutfm5PlPv4beDbRBRRCioIlgB Rm6GDgwaoKaYnNUer5oL4RFja+IdNmyCj/3eLF31MAIWXdJA+/y8pIGBkFbdUfnAg/YP1piaTzvu F2weHuBzCGyowcOcx/4pVKbqLq5MTjKePJs+dqqn2jE1NMnURK6JmY2stKKjvnU6frfjoRgOR9st UKeR0xf1HvUlApJXsgbc1tIZy8+uxkhmZgEsCqo7BlwBUtXkUwlSGfhqJkWyXOMe0IfpZuidDYKW Ni0HLCUB+D7Frgf6ZY2Iwcv5n7xIJcQeY5aPvPrKwIKyxW0RU2j59pB6vNBNo5FNfsavV38yGWbI c2IjExce+yh9rNtwUaoBbC7iUxJYC4+P150Esy0YKHXE8VHWAYu2VxyfqR9Ppf3xf1Bb8f2lkuLz aLj6Fn5W9AKzxEmUJWjFjdqy64g2zNuhmyg2iqwv4MllKF43POzvimF4/6wrs11n9OVGU6d8XtbV gQ9h4AQO28xsLVHH2ZBlaYnpdcpVXX4pAzM9LqAcEqfgmxvrHe75c7G3UArOjJ5QF/ATwCwHt+Hd RWd0AYI1xAa5u8NCidTGZMnoeGJtsd1MNdJHagZh4P8AOe4JILzDDfUiCsO3kj3u+msnVzWt+PVb wyUipAihn+DxbCn+AoffhKU+iUiOWNzyiuayHTqdiliHzwgbBBQmdLSycWTwJ2+OF89UE8e32Tkr sMGvagYNVH+cN5j6NHxUWbabh5K41+ohnPJr65z7OfltgZLswSRQeZdllV/qDAgHdsa3ktdi40GH lZIRsJMPRsN6FMxfpCeYVpD5eA18G/8YXDP2YR21SlKEqzuwi6nottyFyi0Nlx6PzxqONEYjiv2C WOdSYyBCaZtApXGz6fFmnmVU529GobQ+LDwMaW40odCRtYVu9zLbgsph9JnK9mBMnOS/OYDcGMeF XAKFvZ5W80UTkvl6q4Yc5RycFvYW6GZRWCEYJY1GrmqD79vXqYcjn7eOhgCjwkuCequiZ1B9OPc/ KPT07D7ELmDd07jUbBuCQ0+zk2+WKhX2Qv59bLGK0RFtQcqE8PYsYHyK8MQjLGqyZrtqWCnLHojg Pl4x4plFXDXjoRmL5pwQhOuqlg3F9d82Ypoik0EQrG1ceGVt0rbp6SUDsjveoUkEld7DqvjedhRt P4Mg4jSprN5OQqtWyxZAd94l2bQrT+M96sO8oClleYvVTvFa8P1pZqQ8wfNrjy010pfezfpGizAI nNfyJRL97YyqbrunYDsHfcJCxWzXPl/AH5hO2ciKex0dYtUhLXrrck4gw2+0fpSlMmR7RxlvZo95 Jhd4xHXHCrxcAgww+Z9+Gau+29iNVdrL08LjcLjb85CTLplzK/WvA9zwo++smkxW9+4O+evM/SyX +DqdjCPNXR/jSlOoBQO8KA2sSRBq+3LvUOefluf1ja/Qa3kH/SrB7nn+Y4GbvQDpmOA3dhb+IOop J4k1kEpqadHSdspqW4vc+VfQ3Aktpj+Kqr/yl1ACuRECwtDyzbvvhdY9Jx+KgiW0aUTsZ7/weT6s Fo7QhgefQk+b04eZxOf5wA3n8z30G1hRjgvnsPHwvyl5T8+MVl2KiHoVhg5XX1xTkoTkt7Bs8ncQ h/5QeeTC1W8NYDgcqGjcMQ5kH56w719dOFTq1P6cWUR81OscZKqfPyFctWWsegM4n6ue6o/rtMjt xHSTWIV84Q6Ds1fDkSdglid3FJVNe2y/uOaOLiwNEr4DEdoj7NMi1fAG+jisVfygodwblJss6gVM o8LEBFxrN9gDTIcuVkg/xWVPxikdUy8a3UXCi3t/OUDMx/O6cB0ev5mKm/3yIwF6Yf+ksOuhkKxL OKZKD17E7x1IwNTfOQ3mi6+gxU4sjOiKilEXRAREGPHrU6mOH7/TSVT2dToF4SqT29Rgtv/BbFVH zHGSwc1YFG4Av/NTWDiFfunqnfI+ivk6VbHu6e4PiAqJQhWYwq8/lpPROcM4p4hY7iMnI5gHCqIw 81CCLBXEWYdG197r9dWT/CqerzytfntmE7IfudOdAC03t2k/6+emUCmo0Lo/VRAGFlHhcO1YTbEm 3pOZ55JFiUlVp6uJJBgSnEUYVwbGo90KLOCiMmoqyBoM0FxKGhj+8EQTJl1F+DR5XOC6g1QUqW84 qdL28u8fQxYjCdpycNCBDXhOLMKDw43L56d3pvA3T6SHQoKtOikHhuoQg2cgAU+k19TewRcGX1am shDYxe8GWpfHTnhp8dNKpYhy8LBZCgJTBJuSzawZE9R2QOn6rcwf+zvF7lQAzXvoNG0dNFswNUCj FdxGOhKiiecQhOczsxDHJt6EcYc32RlxS93hg8stdGEmtN8OAfhyAOYCL0UcHapoxofUaHcyahde U+9Spu7WsXJzhO0TxvPdp75YceXStZW+kqe8PFpodxUuI7NU38YuzqTCBixb1dx8+qgIpBRpQiUO 2QCqGI0LUSsMq1KXEKYASZJTC82tCPV0Euy8/Ojdapb6BpITcmc4zx7wjkkPoVc2fRShEwQOKUiT ioWfrdTxMexvs6DR9HeN13ux2AcidKJqc7kt7mBLn02PgxLOWDL8SOo7jjYaFN/j8VCloiqpmGUL 7VNMesqc7nJ3dqVY+K9GxlAohuzqVIXqdlJ6OHj4nhHcKtodasldxdlWqWI8ilT18zKzRDLB+tbI HgHYSIWsK6fXnAxpKcqyvX/FcP76rVP7+Nj2WimrQkP1vHqRks31fQhculCfhevCBV8Auvjyi1jw rWGA62iyqkvHrQeZMULFNxdnFbg+9yBRQ3iXU4683phuHZum2gfElR/beZ6rYik24BsWBaB31v6X Qz62fkXpHuZFPlI9dQ+CYX5m0QAQ3axsn7rxDKFNZx0ZHAD3yRrAGjrh7EioXu5z7kC4MF9a/3vp 03iI6Jqc7tswju+2zpojy/HHPPSE2fbCKkKVQQNvUwBH8srruvWBEyI2I50ephInK6dzrT5lJ51r UH8rxx2D9G5nVatJAg6WxXc+Uww93CZdNL8OLJ097RBVlwQgNJYk6Wzj3v9xh8eFkv+6wpGH0u3c uAdaKPyC7tkLe5xneFFZrNtmh/p+vmsNAIQFT2MtGlxRsjQcHPcv08z8gySNz5ERX3IPZERzGptD yPFGWr8JYBP819J/vacJCq9hZXu6l1EWbT1u4Z3g4EhRLPBdA32ihwrj0jwq3lAgUvdisUcyfmFL DU6L+SrUAvGdpPWTR/lntChrQZYdybWqjmctxxc1Hr4PTM4WS3Vzi8xhWrc3fOlA4fQHUAGZk8hX tbI2zzjpBHVUXvB10ELc7XjjLaabsag70qK0PcznpmZmjgsPjl+fvb1wMbAQmGkom+Ewu6SLg4Ks rur+K5H9Cdqf6Us0UFgYOSWq/J0G+j90EZE8h7C+suxYXnemYHllv1qgFTSfub8GhHnDsiI4yIy1 lBwHGNX6/kLaNfyynxYv75L7+80PveespEJU8WS/TNJeEN4JvJkC6qeee++r7t9Ruu2PvaswAdvh /DcgP4rleW7slg5uncDYxInbqnfpStVbCnGVmDG/joya8m1hKynbcH/ITyPrDO7Nb51drzXTCeAA idMg1E1CUxYILJKZUVD4QHKYFTcJFK/Ad/KvYE9AHsMLJ8BgzDCfuVNwtXeBLeBlhrCrcDt4KMob NdQY21BL+BQGxzrA/V/UJn9yXYPADmsUxDOBKH/XyLhEATq8Gwi2tMP/Fn8vyeKhefjsmTlYH7a2 twyw0NC7vcjIxLsvxkUCzI3onOMfV05FzOV59JUOrEcYXFvW0mUQkgTyj8tBJXp30BXyb+3stN/W XWWn4OSg6kOjLrpPTSpDNjzZ7ru+WdQ+q5p60K5qYFqZyPIPCb2GNcXb2ruP37ohNVAtfQtbqRqz 6nKqBctRx8LnQfcVqmZodmEFnrar3AZJrje6qxxDOrGCCGz6B5QlGCyP+u5phBnp4WSJ2Ih48lYw 4/Xb6wo2ZZiSjmncoqPGYbKnNPiJxDZAACscs4YOy9LKUEpnyDXdce8kmQkQOpN2qynY/G2NhETx L5DdfBUt+lVyKm5VRqLmjEKwijSfnopQVGRcHOKhgI02NzUWY4i2BQSEuAqw5J8/Bs6Nn4SrpL40 bnOmGEzh/OWZ32jVyO1V+pyoIqar+ekzbTgbGKcU+MfmHSXfsrrG4CJD0qkmzIPY+2n43wzQfncQ zvci+l8nbAlNKPlCwrAV6aKL6LxVHp5Ae6rIodlyReuVDVjIc7D62u2/HJ9N7ZAfDUkqfN6fW60R kZhZBA2SNuNIG4Ft0GhIIS5Ggc2SXWI+KCJd3cJd+ijgu+q5VctLr9oFwuZ0fTQaa0I8S2veSiqJ W2Ir0+Ifu8K+Iafg64GNQpXsr2Q7giCF0TzbDtJBtuQkGUqPE404d2VE4uCFKA5TIGeslktrpeRF 6IdcYUXx9zalf/P4dzTRbBlk81MjNGidHI0Ph8LCnutrZPeLx1gufY/2H6f0dRuRiLH3XtX/nGvv YA3grcNKfoD/uFAqjF9dI5ydpcVcq2Dti85t7nhhIucgxDon2/LZJQcKvQN35HOTwPEXviKd6G9Y a4B/dO7aWF2Jd3rnTMI9Wmw0l2naTh8uLzDywteAzFtOiBzegK49hcsp6HObj9QOUxHWS0p92B/C 78PVtvy3lODQWQ+IsHF66zBhyf/XP1yTx0Q9QBeU/PN91+FBpY1mR68C52VAkbn587u5k7uRcqrA kFJ7HBtszNE/wQtAdNiIC03Zpb0ZcAxtJsJ6hnCLDuVno13m0xFJ65sjd7DYlurNC10d6dqabeKz u4Q+2ntI/vIvkyLfDpWqZG6YhS2e9uQTa2umk8yX07UDoD5r02hMB9zEq2NY6KdqDMpdZwvcrpi0 TVrS8mNRhvhKewJtlmC0v4rXorriCMrrWLayd+lJYF8SuZYZF6UkzWnQjbCMAwsspb53vs5luIil M6ZAd5wyprs7ewUBQJmX+qMhSACKVW/0Zpw/230MuhE22uvgDTRMh7sV1Faa1Bnvrecx/RNYbxjq eKIZeYss5MS8w/JU3MiwRw8k2kPuWVug/6F+qXfc7RA13dLDLw9jfqWRTIZa+vjjCcPaFTRnMMbn +2f8B0K7/aa5saXJuaVizyJyaTc55YixqxgZ6PU7FHFFGbuZTlXq6OUuc+vN9O/0tY+Ua/znb7eV pI4Yxq+M06wxZt+9LTb5/Pc/arHBsk0EO4uzvNIKvB84q4eeGTjAxBPJrxvx05IiP+jCP/foxgsI jcwX7pmnVpq+SkiFP5+kEKxhxPEcQ9S8Nd/uBA9vf5XOcxCYRviItivMEPocK8lnmR57ywj5VBEW VbMxwyH5Dzz/QqQvWis6lei0+sYBa3KL9l/JLPUK/H21pcA+dLNsEhod3xOMOCpFe/1xcHh/U+dB wKiJX0/NxtlykPDwVFpchL5p7R4LaP/7pyBFmSByzyCLTWTVSLgaJMzbXPs4u3FJhJo6tnCQl2s2 WihLUIrE6mCkz8/pJigS9k+7hJSoPnvDgEZBRaTy0BXetCjbGAkNbSTCXgRc7jxAD1J1HqRjPRjV z62vv6qUCrKuPPY6do0pE5p67iWr5ecvIe3RUn9XlJYkBD0R15T+BY5WX46c01XdNcskkFXiTIfu EBD22yd1f8xhpLDMhqL43KK4fc4k2lsG8qI/qwtat+PxoSEeiTE5rRcyFUgdc+asEngkHwY+o5sz f6WTfTB1LBCUUh4iMB4xa5TlTEw7oNb0tO5pwImTaOqiJKaoSS5JTq1YL3WQY4PIFVS2i7wmibZX Ihk2w4rQCc5LS3kp7MRvkyKsOxq4RFF+H+cObKppgmVKmU36pe4lN21md9iUUSk8MYzHHHHDjR89 KZTGPfGoQ9XchzRE7nvRgoUV1QQQ87q+8D5OL8x4hP+N215cBdlUKXewe8i7QCpiKdA76Y8Eyhvy 1nP4T1CXrEt5Ju4QY/KZ9tFGMZbV3mjGuvHdfM8/wxZPKV3iCa9N7ZlaZunj6GrW3PLv469HZrFp GrMszRW12n8waT9P59W11jhw3Wlm4MnyRQkr+v8mVQZxJl9lOQqFGTn3Ljr10DAlSGbxdoSuIDK8 4bAlvkQPAadpT9L0sPK/TgW2Hri+wS3384WhLyL8o20bYGaRuQ5F5FPebJkBZmJoct5l9EmLlsaB 7JSuz/SBdjd3A5cZ0cR/CKe3rUHHjdwTkcB+9A/Exz6LJVqouKWu0a0Odevu1E5yfjJmCNBaD025 PVsi1IRxyD52plaSsQVhbVoOmEJH4Q5lafLxxKNqFsFYDc8Dm198gQDIRl/wh1BKlvuSG7iAopAU 8QXTNWnxRwI+EpURgCupBM2xq7XVT/paR9Y4P68y87Hm7AwomynqXoRrUaTiRqH6bEZ7DObDzzWE 8fviu1pI8JSUxE6+FZOopsujKERZwmEd/TCfzki5acYhQRPWqFb9zyiK7RK5As4Ob+DJXcwTX8qT v88DiJf8RT1UQfznf1rGLmPFour1K5y0Xib0mygs1yB0egE+4L7YFqQRLWDKYzySebtztMXaAMlB 0ACgNUmr0y7zc3MixEtWWFVRN1edwe05oYqM/D+Lqh4OBIbfDgO7LXvxXZW/AiVpw9KM4XWF0y3s ahxxrVfmyOksKy7IBSXHyGUbmZl74DHhGa0c4I15KQZXBvGrBJkemDtC6yg3OI9WN3IetAlvq8Lx RL6gWOh+aWJ6Xq1jF9cJMd3RRL3rEL/k0vfny29EVGorxhKEu0n9x6skOf4ZCxEpIP3b11CmmL/0 ghcy51yHQAig8CgBqcjcdcbj1ViHYlKVyiJaA8aT0gRfDkFYtUd2tLoCUIGahaMm5TMQaH8HhkgK Bm3k2rNvnwDOmz8o2LXFrUbqDxuwXlGRo9SdES5zRXIEX4knk10srHmHDcHWMboLunTSxjFR84F7 VUrjq6jtxW5IyWxoTatqNSoxYTu1WovmyXhQ7RJ7N6GrT4s+3J8GsFpJgYSkDOoaZLHj0a5tLPNi 1GDN4oa4w3vOBRVqsEASIy+meKLXnn6qZhoeeKj4eJGil7HaUiLFjfjurBKNzHIQqqS6bzlRexJk x+h9fUhqKM0cv52VLAHEOc2hkTHEkcQqdBuT82B0lmagLk62EO7h6+H6FBi3J3940wj3B9rf30cK otdbX1e9IxhVacqP9eWawKf5SzThH8M9NHJzgKXiqgi3i37lNrXoXfpJwRj26nwwvY1rzkEue5kj HFXp62xBGdH/btaK9vKUQ/kztaNuoQ6taxEXvoY08ES8B+ZyYW3NbF6KhF8A1OqXpAZF+Tm3unYT X8yVNV3X0nQGu9TC/tSU8RQ/EsLd3il2m6QcFqMAllhgRDiZU0V7G6iKazWvIPtXuEIj0SI4Aljb eACIHv0O9U2HNg4o2o/9j5AVUke01aJAfn4QJ6zz8zbvnzzdgliV94gjanJ1+tqEN7qY9653lM28 SenCtYcwuOskLCH/fIe2IYpcLXbSxNmMhzP5g6X4KqsIy9/lydVTdwlCO32ALzEkELYIYr6hETKU ERudLsUV82msCM97EwRJRNbKsX6npXR1Z/OMG92T0QXApMbiMkffQuOqhGoRk+C0ZJiviKcRWkZN 8xl4YFEMgwscTnFJaolclPmk97xeyqqTgo5fwRy23ZWSgGxxFiglQiglnMG0E10G+kGWj4clX1Yv RcFYnzsevikcvBWKQZ5npSDcqo15n/xLd/Wfw3Gb85O1nABJUzmkE4TNcSAUCsdutO1NMMp4EIrE cia/ZZcoxJo+4gormNa4ogjb/We8sxinrJsEdAYVCgsEy+pctpEm8dj7558TuKiOi0yQORzZtdDX YqmMyeqlh4WUsYJbbN0oYW1rTM+j2grwNhrmg8zN6F6lPs1djl2KlfHMlxsDBkqRIJK/Tuu0jWaj enUscUCoP8b6YT6+MZA25fZwrFcGH+1EgHt+L3T9QPfiDUiUFyNDxpnuGm5xuIRQZTxc2kWauNA3 zMfiQHKkq5Cv8KxcoqOtMmBrJ5nnKs6COfco7O8pf9dI0BllnroT0CChTPZF59v+kYy5lNKibsfI EEzrv3QI8H58DaDCHBr1n1IZnIxbiNeXUX2UiIASVNUFu1PZdmVzr6ErPpb6IgyNrcBf52zONKB5 lzSDkDf5qRTPikUIkY1GBXIH/OXa4jPintmtem4Ftf6lrH11XBO+DOQ8eZhXKjDnpgwGrqz+Qgbu Mj8cSJEq2kGWwPgEOuNrIaEy2pnks+xS268Fw80+Ap4DqruK1OsrP9wW+zCQKZhzI/scz4K7nW1l sWmiaOo4sU8TWCc4vhLf00WBr0ePo+N/KYI/PcjId2HEn3pmT5hcYsg+0jnbmzoA6cQM4IbHioDw s2BuDha4jNk0By83oguTbB/rfDztgEmrwnVI+kHJEP5lYzZootcFyic1fl1IGkHyhCpwjcrgwWuO nh/+GmTHNRddu8RanusXsqsFYCkMeXNErnnSHMBIcoQnmSMsXbLtYHM38cNzmTvh+gfJ+eJJKqre 2+PVAEd9zP3Gq5aU1wV+Rd653OVpqii5pgNIUTWWXEI4rfGYT+b7qYQF7lQyrnD1ikDY1pCRI+Kv e+fscX+a7W+EqNX0trNWDw9kA04NRqmIvmpMeqBvmYI99OrHaEaeS5MavBfk9xF/z7q7RHfuBnIm OyjfaaPeQhA7pvWR6h4vgaDprUm4/liE/ircemK8px5efhkDX9DTi5oXYe1bgbDrIjafl+ibKVtJ VfIzJshw49ND5/1ChALpgg2DSs50f3mgM9l/6LqiZOPORs2r/epn3V0tpePsQYMm8Ezq9fl7LPqO 3tNEMiacx2h33TawnugbVVyOscMuqT5sG4vxq0XQcyKjlDFEjJHT3IDDFN38MHCkrPqmrlMFb9eN cToau/irABaD/fPeIfciJhQIaffVQoMJGpafccCFtjWKEwsS/O5ajvgWujWW2TTfunYk7ZMWIroN G2UQZ5ZIq/f35wHMsRQlXFnEorzzVo/37Fq71ma6wUGn431VKIgu12GQLNueEwigclBrPtVA5Xyu s/OKne5HK9LPLkJQ4BN0K/qbcOTldPa6ekDXbJocbS84YL40Fep2Yf3EbhObxzxItDQEmqb2ClwD phFzNAC8mJJ2PIXiP7l8Ju0PRfes0RbhShD9qKxwExjQ+KldeyLM/RcvCIOkqh0fC6RwHavb2awU s3fkylO8xdNCdBkCCtAF6MIIBv2Krt4pqhu85QJlzUlhxGSuSiG1PnDZ5veBtWV3BpPdeEe7QK1l BPsseY/YIgsa3ZOkdqh5zc1qPEalBA3P+sIgVujMgMA16+yUmqbCN3vnedTe9zQq0ksSdbDyhpdv qXKCd6xyvkP09zHoEk8HW/Z+WVMYb/cIoGjJm0zbK1mrTKuMmpgC2tiFtwEcFJe+0GfppRlDda3W A1W1MC8VRthuUelZhm4VScgNgwCmmG/PqljarxKzADsAE+MikkJHj4BAj4lbtx2g5jTgRhGNseZ6 8EllE+zD9qi1bCZ6VuNWkSFutm28ESQ3Hwj3LeSGVSeEaJLFnvfyEzQOq3/OtSakS0Y2CqvwiFT2 V4B7Mx0Rl9e0Qs63QV3CIVpYs34ZPQAf87XIRU85F5b785za9A+USmc7KMMIudnGA2j3Y/sYgW0K zoJTbRcQBVrZcehPXow1sYRHVUL0bI/GDIwezpmV73+zoCKfkSuspc/4QtEhFv39PoOXVxkJV4ON /Ovxqhb1ON/XuEsv141gDoYtZW9nrwcYzNvhKkxOnXefU/TXCAhMrtM2wO4wLVrVAJ0nm6XEDnsN w4uDPww580z8h1+HR2c+YSVuqeVN7Dq+TGLiYwYcfQVSa1jZx8Y7mGuW8HMQi7iB/divnb8SL2Wj 0zo9quRCg7rl6PMo93hfBWLbs6W7wLJ5bHVQ+3wRqPs7/djnuXiC8fYa3KwtCD+2Ms7VJZU54L6e gBMTWkgUlXGOmrnaw6TJBVfZ5JxIIKdyXghXN2ItrIGLj1xHfAgV+/+cEWoQHbjMZZxN37bowYCT e3/JbP3V6YWr8UVkAHWndXA4G96x94mCJXq60WtePJMLE6MPBP/pedloZybZG1FapP8zQhEU3N3e ZjR955swrYbT7Rd0o3/qDRwFR8hDmrwCfOYZiUjj0VDL5VGWlEmGua+KsnYLuDPtHdCPua72jy7Q B6a/jtFmD/wt9i6aKCwqf2TDokmzdulyRR1tY+FCwq9a9sViC3uKQiNX3HOiF3V8+qhgwydp6DKG q0eDEGG4w4vqaO7fNikOabTNsaezKVScy+2AriUHM/SMXqUW4dEJQq5uIeJuA99oVMLdXgWyuh6j fErwpMMJFiPvQdDebY24N9QuBl4RNHNnWGOXM4Z75TZ5sZ8qBdEGM4IMu4Q79eSADXRFTTmlD8rd IgRrEuhbDkYKWw7rXwfIVjx/AmWQTLML3bVOfB5O9VuPwYHS3JzXtPoYDF0Co1FDlp8knOQ8YYDQ shAbI2JLJLkCeOgSBhQvC2th07TD4ORd1F9pp/IOi3x0T4N2NpDSmsrEdwT7dpiYjRo9aOuGZEqP lkTY/tkjPFD68QvcNsTtdatGCuwqCllcFD6nO4o0H7REJ6+gSPVEG4adR/h7VihkLdB3OUssBWCH izVSukYAfVbsUGlVjhw11li2Rh22m0+bLNtopiStnkIVrS+83N0gKtFw1Ct8PkXbkrOh00epBf49 0MVdjemgACeGloeR6SQUZnc0DRfaxXW7wPLGbb9aaRJVI8R7sJndgRCmiv+9hkhNJ0+XVh9AYJsr krEfoPc2Rw6NhGY/vjSnyokAuaq1WPmrlqXJzpklq7VnWlcX8JbUluvBmQa6Karphr+6T/bU8mqa ClVyLPAiQx7qXO6aoYNeVNekcWIlOoD1N/0OCo0eg2cWNgKzsUh0pJzmSsP8QGn7sQiTVfH5Yywv G/PwLEyqbK98L8goWP+wUEMXcglYUM63JFlouXiAJlK8Ag7pUQ1m4dyEOU1Q778xnV/NDfPfbL1m 4cR5EtJ5FnkT4ggw/B6Vod8S8y2+9z2MYpegviMZq/unstGlc9J3nq03fMiarsBgvozIX4HKgAjL WBgG1cx8PNc3XpXpx95/BoHpYrHx5lBVyXZM395uQhtFnmdicUEkRzksnwc3s0faULfSNLvIPk2C M8OVbtW5JjRVS4bk2uGHpwi5rvKwAwLl+aPQQKllOKEiJX+aorkKrJI6HoyB0kRDHr+mlrCa4gIk Br3eNl8fVQyugLetGfEpqd/C62Lx6WllwbYK4dvPWKExypoVq/EX/79yHCRAEjpVXnNpzZTt6V2S fHolwYKuMdekqe40frB5qKlIwbTsSGwc0dlz9aqZhPNVis5u/HZo3xtj2TFnhV5XqTzlBVAJMcby BGXyVcRsYjG5aQEoBB6VT3NArvGMGDh5O15nlCtsNdLFHxd2xWby2YhbYJpedevBTgnQAr99HWDB O05syPigw23SEm2/b6TDBMO7ZbnNjj7ysyJoS3iofs4wrcSXLW+Tt4NV5CGQixXuj0RUQv7+4kbv tlWhnLJapxcMWxXfYAaIlNV6BEoGOTrqk0Ni+sXhwNJUryPxZZRWXuyGM5b/XS9kvklJndsLkTGq VwzQ6A4x+A+aV5tcQsji/EWI2j+YiHiOM2Rw4PsZNicJ4Iem6eqEEFml5d91XLyiLIOrARoB9tVA D3suVA3jDq8r756FP1CKUk2LTU04w7M3VDgDJES2ZN8dznXUIPY8SB3ixa5QtJ7B4LqdcSZBK5Ax +7ECRWloGfvHBaReIpRTfi6b+1bbWxjfI482abZVdISWSUdqhRM9pRP56yobqXwOcVfyAPDi0uhu b9F2ruddDmlouqiQF1S+r1/fPYed2ukjWljH+qBjYOMok1yZFK7nQkOoFDc8WQT0Mz382pk/uxv9 Px/tn7nFzAVrj92L/xGiZMvr15O024J3zG1ztKT4eiJavEfQsEg0Aurlz1UTDjaUqUD+aNJ8Jfx7 9j0K1ufBpPpdHlzL3f3pNnYJuMLyeJktHcbXu8QNlDyMMWchGJWBLyQHTn60MJCw2eO16iJUfVKX UFF4uQAkuCMkjrSMuATIvv0GnmD1VG5jY0cnh5+SKJkWzV4upXNolB2P5XvGbdbSC4Ud4ICyBdq7 gWpDnygteec+dXPRJSiv383jDP1hO8Pp95Ytf+xFOOozKpeLP00O2ynwVrAFzPv++vslqsjABuAV cuC2snSRPXnpFU+mKQXCkBButG+dYi3Uu18jWkjTPOPGvuvpGlP+QmqjZXOAHO9ItA6C83QKpeB4 d3JcHR2IpTqvjDXWiRS7UHRDdSpGi8g44X5tVyOao8J1bWTSu+9avQtZV+XiuKG+Vn0FkfirdP4f BqifRXpS+L9wMX9MLQtuC5PP4tFk5PSYStv0z4mvSHvr3FnX7W83Gwi3gdK+ukscmMIbJsrX90J8 kRyjqFzmW2hT0dactm/fNVObf3KRmlAIxjI2iFh6VS7qQ01iENFLSLMm2/iHhCiDdNahWMKMc94M UB89X2d5nSSYQNtFvfQwG76LvHRC2lKQOxkBawUqXgIbrEDQOAMf26w1grANBD7D6BLUM+0BKt/7 geIAZ/8ArZHiy+oe5lFtD5BtN1vZXzEam7S1u4EUEi2J5gjC3HmjjeI0vno3dcIFTZBEEPOihXKx 8RhfsLCLT5Rwx1uVq2jfA1FOubg7r43ysL3O4XOGZNcbiUzcnVZeQAMzeypjFFf1MMZDOI3LjWjz LHXmP9p64hcexKf4btSHYrcCp+k6o02qmj0yRPi4zB4PjIUy+q4VjtGFxkLk0Qf+JHEU1QkmK4UC v/8FpBmoQ/00/RFPuZ3gO0YLHME9PAZsCEoMvespFuebP1SqsawH4dsg95UY0J18SN1k1D8L1Ujt kXPMWiagy+Uiz6t1oYkaKpmVUMkZFzUExioBpdCKl1DYGObCVqY/MdydTyPaENf47GvmR2Nj0nnX HvZerzVS6Y4ICph5N8tIMNCijds+wRO1cGXRoefdXbPfVQM/poxn5bJ51jO0268zzAvOjEcmz28I H398hsxikZzTuWr971uu52CeawKWWqcenW+9Oai0XAi6LHcawA+pcxiC6+53LSKGoS0k+eO2R/Qv sE1wzykSus53zpCGgMn+xB14aifauij4VDh0CJUDy9mzWgfpxVpmqI9e19dSu/Yp+fzD2LjD6r1Q orcF1BEzllQiCs/TejsvB5gDSaF2dr6fHQqjKqrTBaBkMQzNjfE9rIUdQ6CWRkKfuM+exVnKLzML SLAV7IdwCfiD41H/e9mIlsZKQ47+c25ec76GraAuJ8Mhf3K0H73Ybnk2Ngwcyl6A+tEKffzkzvzF pJuhrLTJNyk8xFVBgrDPe/fdFZL71A0TcsBxY8hS0IZnsvABRGjfZXYwbn0KqI9QpAjp1Y4ilwOl H31KJQvwyQokn+IAPYY/BZoQsuAdxHTqqD7ABu+yWCC4GU9uA4Cz9kmlNPkWO4jrY6dUMHtw9/u9 GJRK5exYlV/jvtk75Hw0gyehIwCyV18X5/eIjXnBHQJfKngBv4csaB4cNQs83AG2ufi70wnoUmS4 ruW/jMJbnwJYMAXWEjaVx5JIx2wZAnGULtcuj9gn1YXrnhv3zIp1BGBfQwltofIPe50gd2RTmNl6 2pBZpSdgupItdUoAozTDqfSTRUAo1H6RCxjs3S+TuSr3RG0WT+HTd/m7rXxQRkv2CwY1+05KzuJk UB+SeLrTpI7L7lRKhjAdwFaYv+wddiZD1Zrywni2AdNgb21TKk0B93A2FfFqm5bcZqWoG7+G43jh jphVxCYR+4NK5fqNxl3mKmLfwZ1h7Bu+OZTB1sIMBOFzjFWzldUBfJKuwS2h+4sebVLkc/LMnhud ApEFUcnfSsEu5bdkF6uKRUo5MiaslM0Rp+fSv01GbeVRHubNxdG418IP8BarBT4MBtnthBgGgUo6 cCOZngAaPhlpUCM2EreIa+19BrCNA1EPvXnAZ9vjCLDnmrPMB7lvkQjganTa+9Kce3FM3nmyG7kH LBttUODk7sXR5m000dHuauwQAXEIFL43qSqab2j74VrSiHSOxqrFiQLZBFZQXShcWsDqVxLxIguD JLV6pVN2P7laLn15oxDqnXxcxF0In0i71UiPPJ60oOeU72bG2efox3BPru6L6mf5S+FQ0M8yVrWD YM8YlTxt1X4XgtAvY5uEFRS89e0r9njZysXj+5jaaHImmmlBgXcWGX374MBaNnIuGGph82vdaMz0 v4jnmZ5JAZAwoZYI5K1d19bHzQ3bvqaYj8GkNJtLukC2sjc4hHH03BU4su7XVlMxJEqRTtSzXWvJ 3SgZ693XmtHrrpn8l9I9x46f1trC3r/o0JcCfr6R5i+4YjoCJZ93g9BkYCAVt7MX2Qd12SmXVu1j w3D9zHi8xQp2BA0lqLkoLBzYSXejTX14FKkORs+ZfEGUtCxUcpdLFKKOkY3SkX/qmerZn3nG05CK i4lcsRxDDB8d5c0UkAZAmF41H7BwcgOe4HOswYplsPzHkKgz1kN7jEAmbnxxK0dlSwUwisWd7KpW LbixagnMukABIX/QfVM8OP+U8lAXoQ5fqWVK9TB6QAMk58mz4AEG72V+lofCzhgL2fFqlJ5Q/ZG6 tjeHHvKzCSRsfhF0YWirIdfanGgYGD+7Ju3+AHx6sCIF8CqkDzi8sZgYWT64TONnCpkC5OZTp5ti AHUU0ICsWLNYGsJKSVFuj1UKN8kBbZ27D1vBKF/zBlBBgFHopvZHsGTqmup9K6VDjiuC78vY+Hww tzB6/JRyHQvb1ZnoolTCodtxsum+SYzH4ocBkqy1xGfdGxf848J0U4rTeekwGK011ujZkC2AUcZN Wjcrtx3y1fkhjY6tTkH2o193e5auJQvPopfJyxR8MVSxDWT1ELVAW7m0ItLQUOlpa0X8q1lVUybg wT8iDtPyWtPDUIiuzzGrFScjYIWvGDfp5ugSYyzloFLRkXsluh7aNkXs2q1i++wtNJe+YzmRxQzp agz0ZvX2d/RZrHbBvSKjo1gl020MC6NCAW3ClxI04s9x2IfnLS6QYAvwK1GXranZ5sKGbHtU0WlR m7KD9T4ykdg6pGl+tEwhxLM4L+ETubmkMelRfZiaVgduG8tjq+SyX14k/yfwAIcswbnupJ+6EK3S TBf8fd/nHlZdyLxBwMk6pwjIhTDWSI8ZsI+T3534+nWbIoEUB9C12l+uVTj4Z2wcsikuJDNLJwrB T2wKTPPoHh86ar2DHZuL3pEgG7GC0khEaUzjrdAO56IbabqwW8xdVM+GpdryKGTjvgSCM2IaYwpw FUutA9usnHFFRvGDanUVsKsi8UrowI8onYXDkbB3ArFLmYDub0YauGeJesDbXnF89Yc3NFdi4Rsu 11eyDrjU4ODqvKToZY6UVPlq1ThkXPciIAYvcmTECaNkOvNWx/VXPGxMYQUxpzV/9YJO5Heo92WP 4rPfEahWjp3FMZIUGRkT5Kdwms5LqcQL09z/oHRhH0zy2/nLW4vl2ArHlKZPtz0nouvYkE+CXDl4 z3ZAEIzSjv/gvA1AdszryeEMpB25MxTEtfV5v0pAkm5ZbXdfypp1G9mnISeuEvoZFJteCwrfO8qw cfV+tz6i68ejgN6qkUnvi47LN6wjeX79fXIJVXv0mPoGmcc/ancwY+xDBJj5rTyH8yHANU6gdxns 11kB+vJ21/Hdz5P/tS3LEGv0xRdNjlT7XbHSz1yYeG9k/8TW4ngIh57Jyo8uEbYCnOMT4aYdlmOo BxrYs+g5qhyZQhauMssOe3jW+QljJdjWvHa7Fmm9Fc7RHW+OaQYxJNA0yrRUQ+3pW7SZq68xnFDi LBAikVrocBBZOEHXLzfZYyrB+dlE1/k/gb7gi5oGMMhKcu6EtcolLLwsAQcr69CzMHKTEYp/Iuoj OXqi/t/c75/LK5o4k/JSo5APtgMFBPIhXiPgAwsCsqNfbCRLaXC1I02/OblRR9mGh4rIOP3mj+TB DGk7cqGxqbK5f/+bAxIieTA3AToNS/afFkyN9xH/5x36YaZtELQdxDSYcjLxCQVC5JVPC3CEquNL ZPPhXRbGs5IjnCAkTfrYgWpV+Fq75cWFN+2luglm6lceIN1vyisEX8Afl6dKpik55sqk1eu0CA9v //3Fwc+u6h26Id1TIHBkZpUoscpbCQYFSmS8Gy4G0ZmgozeTXH172DK9Z6CU59hNkW3jraEGGLUQ bKLIZR10febCqRo0J5Xkn0nfF90dUbuzox4VaHxB6Q9b2dyXUVxOpyJ9BtBPvvYLzVoTNnrLTHLJ 57CdXIbL5/vcfj5b44MbKVYrhSKH0mIyOTMNBdVULBUjp5TGM+TzCqYHtWFrV2zQ52g8DNyKrvDZ sShsc05wrUeLJ8bnXfr0Phs/5CX8ivZUt+0ydSiCLjkyE5CISVms+jYOiKYpEfpj+C3IVkaUb/Ce cYHvvH59xg3v7hMlMGiwcxmVdb5urb9gtax+8dJ0UpriouJJrWQwxY3IXQJcoGYCBZ/Tj6kU6pzB bnXwPXoxopwn1XKzctNGdTL7fR6TyE5SNWv4ADECgBQMzFiAaifnMqHzRxMJL7jUEjyHcl2cctXz vuej6QRxP9yQM2BkTd+FoiGhkaRrs8b0PyfLnefBHNQZD0k/enwwLusDu72s1fLsqRT4D4KbsPYh cEjpRhA/9H8RAahyvfy6TzF/SbbN0o72JG8dSLMCEWfmvas7Dn75FyUSIXVyavDYA19jo87FzVTA 7FgyYA9NPlgk614FspUwu4hk7hdRr20EqXygM9XRPSgr6hVZH68zA7fDpa5d9K9Q+vCqlAPAV7AZ 5N6veZ0JRt7+I/elMHDVc7r371ZUHNbrR7B281lY+yFSmfis4wXhqqRdzvYCIn/MGxPsIb/oGfVO raXIpLrCM/brBLfu4k9t4qOQDJeZ/xihmyaXC9CVtmNeTNxWP22vDZEbOCC+0rCCdOrC/dwQRGbp yYTYq+y1xxLHRz8I6YHbBTv9ZCAAy5uHstm/JwEWlg+jJAQ+mS86CO1FvMPufDlRMjf+hbr0MfQQ g2Afi7wyVCaewNrjmovm+Vno0NTfcDJ5iKOMxYQlpmy4h2/jIKGpRZcmXTWZPoHspIRKW5vlcJgF UU54xoXmXvfTEfLqswQdkYCdH8Ulh7bE4fRgJjBQA0+3K0kzqr3fifGkkuU5eHGEC7/6CITc8rQZ ECb5HqNPiPpNT8RhN7Fb6dYWGy8t1hBqlSCd9kjNxCJxgVbl9Ea6ma6jeDgvPanutx+BscRV74aD RraLWxcnSxpcOErPxziQCUIZRwPKrgAFNv9f4orckAwJxb7vYoEIzQ0tzcFT3Yhe9Es9jiA6OmBK ydmOVYZ1mb9tdoo0VXitOufy9+ycNMK8MHQzuDDnXV7sIQxsRI5QwiYDIpDFyEWthREHxqRNnZNj XZme5rFe47HVAK5lbgQh11ymdPxCY1y3QaXpFMiTf34bq7TBpf8GOnsnRO0sXdGlcjK8DLEH91oW +xRyaoBF3oXYz6h69mR8TgSlj0MXL8yu22n9odO9D6myVJzI07t9HAfRxtcDjyxI2HFkr9No+ya8 o9YqW4fopo17edxk6ub9JLRaIhtwiwAWQNH/M+V6pYLfT4s2zi1C+FpVCw+CZeEQ3K6yRR11azke 05+5X6K2kwVwkhsSqE5HEOkeFnQbWwtlWAjIYbz2n6y5pwjxsH7zPErbjbHcYzuKI+7Fi8u9NgBC yfM1q8sBy0mOHi/OYKcB9JYMIHdOC2IdKwu/TbQqPQMSCczriC/LG6XCuDeA36Ne9xdhtEnf+iQy N06dhXpMR2qQPHc5xM7GODYrZo1Xxs/dwnQJe9e/dgxcHkIoYZeYHUCwA8/IJTiljIq5X7JeHJGM wbt8+ddolOH4OaYaZc80KI9vN5J3Fsao81BEKQvd5il/UQU69PNQdAlnMWb2HM9MOuCMJyixWM/o GwOJoZKUa+2IjcEjoDz23x//97hFwksZfzvnQg2WnZayEkXdkXPSf6mvmELlpDv64+LrlNpVdANb gLE8PO+t9UEzG2HDadsy9JK++Oz9SHdMB41zsmedB4Wb+j7Z35MBCkE0iSzylCyeDgHGYkK3fDK1 3s+W5ZB3faCI4H0E7huVesYVyO30hM51gjNYFBhklzxoi/kl7m0u+EI0tbnJE4d+NQYHOPoyuq9l wWUqvlFFzwPRHw4/CMbVGDq4QQ3/d5j7l5qv2R0L/bgAZR9nKx9B+fWjhLQJfM0CtuZAmsrxPU1p wwoGA64RrgmnH+NgOTI0Jets2wDz4BT/R4nbLbin2H6OQ6rqDWVBqtfrzNUnUCxKH1sSDCwCcKJx e/qRnGFBB3mLvDzSKwuaUEYjwOT/phJ64HrNykEPytzpVMyhSjb3eCJK/uzCs8v+tM9/DJhBoKOq JKYxRwoysMHI94uxzsyIKJAhjUPNAty0edTLdT2cT2c8p55qr/elC4tr6/hZfD2JCmGWDMrdEkoF ispM/5lU4eeYmFm5I9Va1OBh9XQeUuTm4nUriavM7NPfTWUbYFiRA6Oex20YNcZDK7G6X4yiKcP5 oeYgE2odj2UZKYGmA30087nWmfzFBZgEf/3Illxrr0IYz1b0jnXgKThL7kfnlAnfPyfNNTREr7D1 s+cvOsShJTpgYjwnp4THGWDa01qerEcQ/BwdpohDXEKl87XVeBGBUps5SjprkKbvq2z9DF84AE7D cfd3+xlVXO4e7rCXCXGg1NAyCn1DOewL++tOsFEBTheGHKfMcz9AtSvSDqSi8/zGFI3Br4tCCA7i vxtK/RPHwCkjmWYnUOYXMc1j9NOFBoTzJtXVcvfGY7VAqj0wy0u8gCMnj/eMPV5Nmr4lYF0ASOOw 5MUUQRd6nKuVBRUR2jsGc8+dg+UOhReRv/rddxpU5kRfteYaKpN2Yihfm0TifJQBmxwzghXUID8b EGPV6TZoxpJkjpNlWrYPf/e153xn6MHDQDtpIf/ZabDPoAG4CRDfxqMLZvZqV3lM64hVa/IAZF/i m6wBQGSSCM2281MMl/qkG7LGANiNvnXuO6mu/6moMlYXobgfqDois74bL170YqqIoN9Z2S0+ehaQ 8hoxZwtur69gr8ytQ+/V3r2lTZsFJJL+6ylvDUIVeeoYD99Lp8UpOHRvlVmRxs3/A5nDF8nAx2Jg 9ykSwNuBPtdrzrIRZHjTEjDALOBGtnveTzfs1DG5YrTSIIAp2XGoxGAM8OjlfqgdL0UgWLaqWpPa XCn3Uc4gAihXLiAgtTnk92ZY9UosEEJ1Iqy9+YhGDxhLxEhSSJc+BGpVSroSi4s3Df6BPHSG88c6 Jm7Uhn7dVvReUktZAKTo17qPERYHdTBy0wAWGb79UiFpdJotiKdykmdoRuwbbKDIqw9nlqsTT6NY Dog7sy9sbWF3O3evZ+q+YDOMeHGZDr1KirRrv9vyYxoF8+ULhq5PnCeTHhB2gwuYHz0YjsaaychC Xszm/JpJuDLRyRqFHcZXWv9lqFymqXKDZKvKVote+6pLVyvdLwlpgoY5qS+f6ZuejZ6VCUxG3mF/ 9uCUtoNd3/PgDkTiT4XCYLtZ761OgP58Jez1fvrCGeFiXGXLxAUR22Qwmb/NUrH6bEjwoAEZ/gDo hdjMFOgmEyICMGP5bxhtkl9UMwGh534O/bIX2XGoQtiiAkpZkclHYWS7vYORESQdfn6WKDVhNzCx em72Nyqom9nPO7sKXmzxmyX8XNTW26FQYZcSsN+8ggSpDH3+pJuEYWr4ujRLhY9L3C5xliAIxtZ/ Kbkv+mTAUf4Y6pkLrHWtBi48gQrAuY+XDX7DG7pF4B02dVsAdDas9p9ieeeim7B4EcXOnyjN7Ojt P1FoIusjcinIcyBWTOIPc6mC/7GnijJnrRoLcVKiE0WkIt+Q/n+Jx/Pdfvb+6FHZ7BVeFdzbb/Nk +WKTMLNavzV8OoEBBH5YUYrODKCP9ywS7zDmM1m3CTQLIXElDg8Ngk2UlwiV9NkCushBVhqr8Uwr s3GCoYPwbAMsM9v6BIYmZXEpYYLu/q7I2Xp1xpMk6g5aw3MWLhdRpuDAKzLn7jgsVjcc8QcLb6Gz z+pyPAdXEZxq5BksDL50scXODeK3nbbmDcXORGCRtFt/u0mr0MFt2QxUCs16G8kMGtgjLxVEc7g+ Qub+15Vvrm1q8TDhm81l4sKuLn9xJ/mT/sv9MC/7FNAMofC5PEZn+dtM4oReX0o6L65LwAEoe2iA +G6vPdzenbI6IE2/Bd2TwZjhMWMPzb3cNKWgSbreSHrJYwdmWVbTwj3m39kORmZISv1gtYEDq5Ey PeOmwYGFExeMDr4rTKvYZVl5X2vkDfYoIe3GMsXcuR63uAQ112KlzJmvUPzwhjbXqNCHOVb3Q9zh rDNmas1oC0q1fvrsb9mXYW17jPvmWWgts/4yfg2iE0QtUpyTVkOpMac2VrAEHFXNouSpXef3U6tP sqpLbQYNP743IWrDdg/PPmvOPrUpbSuRIc1pkgfC+WQSvw4fQ4Y8yooGeccbelTelxVrSLxvd1wB 0bAq6ylTayydRkzgBOfxfYjmyiT7SYgEeBmJ7KH2CHAKgM/Ta1VdOMOc68VbslZMvG1s2EYOsVq4 IF2v9TfEJakR07jrFVPCKdDiX7z4YR7XKF/mREBgdgSRmsluZkXVtcHnSc9MkkO2mH/ODyKLKpsh 5ohpqQpKOg57JB5/loImXnHRlsK3MW3n8V6QbO5QViBV5WGd+wYLHcIgGqaldXEhaYK/lR1+WsuG 0Ff6Tuag8TLhJyNYbUX6GmRJqbAkXTTllDfxR9e4xsE4mAg2ZL+N4Dq5zSuZngcm4TuwQCUNi4J8 lGf0M9S9lY8ECVuvvwWzzd49seb6Dk2PSkv8O/FKp0iWdKtzSEcErLzzS9gzhkc2ZNx0Jmo/8Zzn MORUp6AHW2EX27P/7H2JZK/nYEDNPO5CTdl4Cq2ZCbt9ooUtImbA/h60xZiqhHMtIa2dNPWs5VTg oEQj8Gc3jImfx+g1/mO6w+WZnok9nlkKDl8vhdGQHaLLYkHRKkw3g27Lfc4683axlXjPoRZuiraf 4eQZJfbTtjP/4/uXSZmZ8mZ//P4UjQ7abWJoOROL7tbsDuURVEPUhL6tFma161LYtqYDe4u8W5Fj P/ICCrC36Mv8fYE33puCeq1Uu+LOiywGnW1ffc+7VfOAKAXKY+vSLZwKE416drT5Owje1lCFg/cI UqtWhWog5CASvk+CQZ24n3xcSW62zve3zSP7TWBpLesBzJqflCjSgNGCbZ4g9fPDg9Zm2PKqmvZQ ncIWaNppidP8Eo+U2mcTKybSfhzmLkj7B01Fk7MK76I/VJ/RG4Yh6Y5xhdKJb69qiQVEykGeAeVv EifyVFzxsD2x8jPWXuiZGa9tjjVvIh0+GLOV4dhuaEu8GnPgbdUp3i44Uxk4e3r4OyItFYHVNDay Hb4UVZxlkY+Ff1skksR8zc4rej1U817mpF6dXIBfQXJwrxsTR2SwMGP7GpJ+vMR0yEddgAsLZfRJ brYo49OUELoN0PGZUzmj2PKU2yf0BbF804rpmWy27liYNXWCMOdZ/C08Hjx4Q3xvO4vqVybkcWan UpSmRhfXT7wJq+BRvYOsYgsaAX+US0a6juGVUTmAw+gY2b1NMIenBNl0tKI6cv0nN1e0pe9VtXlZ p54Fm33POTZ4xGgGeTjrAsasjgPEm0l91GV/d2rrdzh4oJ07khOCeXJHfGhnPHZW/lIjWG7OFsc1 biiO++LIo6ONzega0q0BcgntRBNDfm/r8+h8wZ2nHz8bU0IgGwnSiI9HSH33d0pywGRblkvb1lgO k+8cvGoBNxM5PGntGRFnMVR+fMKK9n2Cd/JUGIsNO6HroEjWzZ+IhGouZ5xUezY9r3WxnCsEQVh9 cb80hw0aWWm3WgOhvTakGoAOP6E/ZtqBOM01GCP4R9eAUbI92ugYW+HRwD0vKGa6XymYKct/wAyZ xF0UTr/qr6/2y2+ZxnnjaN06DCdZijvRRKtcCPBrkHoXNoQuU37WXhbayDIS+RR4p0T6tCJGP7yn V/u5GHTDqwdctqE1y2p6Q+KOpEEhHNjeBeI+kVATWFUKrDkGBjak9sufbPIz9W5YFDZhPligmseK WDevQ6V7T3DmniB91E3L6c93KAuXYGpxt1x+0rI0Q4rKBLhGSbsfvhzLSQdoNb84iI2puoZxsNsy 5n84ukydHDyBPNKX22P5nHSkWdpBwPfASWAs+CTPjsJEl+8Efd1Gpjf/hqXZym9vSKgCmqpdjs41 NmMgTkcLdsvRJhLkKb6tInf8T1hFpPVBCmKpFtUJFw55OoKAwEjXDeckPN07wUf5jzKj2lpqFp/e euf0AYPPIwxGzXUuICyG8LqKVMpudTiki5uaBQqoz3e+25K2bkxZkD79GQjXY56p1Krk50p/+26g 8bhApLfSUttH3ZQ3rh5WwemSElayW5JXPDdhHr9efiYqKvmgvflhVrj4eYXJP5BpROYgDBDGSk23 tC/k+Yi7Rw25x9rV0Z9veg8lRPXx1OKTaJBTQslhUnxoDtCJ3Kv7xYOU2RboS6i8ED5THx9Bneye i6BtpJcF3ISn4wyB2JlnG6QYgIU2HNqpw7v6YOGGARRshB2C2X8apjk4RymcZnyi8+LE06qQBv9b yDS1c1N07XttU3luCD4ObhXoz/vzUxV5uZRCldEJdAZj0ldbKE+vm0F7bvf6pSr+Si2ZQlloTkGP TTbeperEGg3QFeNXSBYfHs7rKpWPqQcAJQV87i0htMyn3LvXv+XW/Si0k3ms+7wF8gTfaV/vyiYk 6iS0cKyn1DP/ARHh1YInfOHV9UlklL0S2xotLov8yYxXW986mKgGXiQmpxOoalXpnQp8Y+Z1TmR2 ew2BHyoOo1ujZGp+XyFhj78WYAL2v6Kv8w32RqKZqLAeH8FsGr5qKGXxhVCjvopWiapAY9718UWn SYnsZ1h6pe1bri9B90ITTLvacJ9tXqVY6cesJS56TOGYzTWKMXqc1nFCfMYfwu+lyOXrN/mCBxCt hAysoI4pimyY/dMM2ZrFdL1FaKh6N1Bdg7Pc/BR7YYM9qNwkEBYZJkkxVTzelg3gUaIyQ7sXbsB2 +yGxn+qU915OgGBTdFktjsHvuD7ocFX5TNaEIzGCG6osAgdAujI3j7kQe8VGhp1Ml59dW4j39LfT RKXuKftFMrY6a7/DixdiefHi4G3XsdLU79vg8Wpiu8GwvOUQ1xBEyo/cugJWVzpyNtHFTBS9AyhZ ilqyazGJzEqks9pyCTLlBrPFk3qVFF6uhOvDg3uLgFSVjsx+gKpjI7N/0COOEEg8XSLiG1ilV17q QO3BPw4TGaxhnuvIJZpu89c12tLyHxGy/2O4TTre8k2+aDlHf1JcDXHqhM8mQzXqiIuIoqJn/q5e y7/Kn0jsrCdV6Ic+ooGIOGbGPOEE/WxKN1EEIRTEpC5eCRr7a2wFf1bfy4Biq9ASZ2jtzzwUDn/6 Y+alX1arTdIBmSOQak6mW09SDjLHm6xgX5NLw4q/gq7pITAMotNRKvGxKj1t/Azk0RX4uJ/PcSUb ct8lLBy7zg6swn+uoPuBF0wYJ+ODADI4N5fpqNenW8i2VIkGIBVVQpLi8KdO+ISXgnwbEiCtuIbf jH81fhNQzRrK5p1BQLt7f0SMYUaa/wclicVNPqanl7EGgEWgmsPq3TTltCktz9qQ/c+BL08WJY9j y3IoGSVrfJrQ2/GjvClTdmrelCRzIjKVvb8HAHfovetnpnyFbPF0fvO5A/O8csML02F53Qt97Pc+ J/aDW+eRZ8e7eBcZhHYQPcwibCkK1f5hPH8j519fXi5hLFBhJXzAjGjjlQ3zWVuvXQK0G2wgSnLV p66JJj/TwY35QdHj3P72hZR+BRhqZ6nu02cYkEsfXu3Pxb6Xf2aZkMakxMK0uZGzFQvMwWlhWkKt ixzAUjLsisYhpyTXsL6gU0qLp8JLIvFwdOKr4/ewNl5JoPocrM8NgunEhvCElilri0uCuomrMn26 mp4K/BXTgAbArKQXbYrCqSgpiF1x0E6XRNO3rmfs7xgCxKSt1St7AJqFUo+cvKtHq55BI+ZH4U1d WS5WhFlcbOAR9R8tAWVsipT1NtkiY+WwggawZzFvWU0bf5x9EJMOpIH50blv//JGMkEJkU72aLup ftapdfJ9JnhhrXWRpJqExeoz/yAykSnrkKJQIccPc1V1TSBbWPnkx661H+FfnKak18850T52rb7r 69pEedDrhgW0ProZWkNF3TGYYeJI+RtSy/6BRz3iS/FP/EfQOEnyaKKa1D7epj+F20bT5wsQcu+t g6LOBErZrnGVvi8yCKCfLYDpiQ4eGU0qRyq4AeGa8crBDnCx4QOp9etcZIrz9mMvi17IU7Rqoi2X s6wrU0zuIsUL0fLQLa6Jwwp4wwLJu6FNlWZelNIzMnOLUSVLqaTT9dpw187JWco1KIUrHlba4u7c MjL39LWZzESipQIyBIAdmE1rpHdhJwtCJJ7mLMiMrm2jD62EYF6f1yY11gOBVFr7yDwj9rGshd/i L6hrkkeUcOwWZcXY+5jiGAS9tb0ygzsnpvuFvwmAQxD6GtjOuyyKrN7WmOT5+irL1iswCBI+YG6j HwnPT7ocLyBXk5SYtJaULYfTZuUqBSToGCqPRoOjkDbOQ4rE8MK00xAwlkvggIWbnb4u9gUgGGcP bj4VmKChcCA9G/A07A9s0doNZK8cD1q/ukYBFcSiQI+N0cR9kzscEZouIypBTeFPo6S5eZEb6p89 thCEXjwbwZ5Rbn0fCbbIqbnA/iNncC9lFfSeit7WSNFoNbhvM4YRdWjnlCvNP8ic9fkayn8LdnuO AFV3k+6xrjhWFA0gBBNFLkAqjJXEUw6WgGAt2O91bjV9Il94ItsCd7cLmb5Szv8dMIjCG6aIDa6t vCVriT1GBtegm3zvRmVXZfifwADgmJcPfl9y35NLwsl7HHeQvDn6xyI6tzFYqrxZ5Ya93hrv+W4z CwdjLLPgj6XaYz4sqGMnkWFKlenzUuDwEQXDmp3dWXRaphPvnbodROol1ebRGlLKqJ+C1+8APoBk PWGf/E6+snRqZvVoIxUV7PxAMnS6JUMmmGtSZT/4nLNYAj6RkB5t6NrFcb+1osRQDT+a7/YLg05s aZbxfY54TeRTDAniE2dw6l0uxSxTpM68FFk2cY72k8X9NUGLr6VwX67Coy2rUW30f8Yuu8S0H9st ZQGPJytQQMdZeABugF8UqYuY3OkaDzO6xZ0Y5h+hs2ZWzQ3rE8wTksG1Lw5YzsCv6tqpdVrNY9Br ahkNqppSPezYmpCd986rezRsNKuvfK3lveNUIWiqoBiCtONwfvkgFxo5vJp6wADrvpsXMoipRSL9 MRtW6aPbpScbjaDBly1JUmGhrwrXKaankr9V1nvHWFgvYRR9rtVoIlRRVld3Dm0yO06jrl45ODcU kSCRyZ6Ea2k7ABtxcXBlqTtA+j7KeLF4jj9ClYn9g+KbEh/UjTkZef/ru5RxoA6K09QAjowG5Z7k BxUJodxKX04CYLya+Otb7fcfGI3eoXaT3hjyNbPoWUaPEzt8wMYC6+YsBG8TtXFMtyn8ml2HV1B0 Imfg/KyoV3u2NpRKdwBr1c30a8TR1RnE8sv+tZgjZevkIoRTrxc71IGnB4WFeXonStgoYHU6H6HB I5VIfU0Xh8I19evyT6HuRSizzMPtvQk+gskcyTipWbo1mRlmZYcE4OF7/xsRh5FviJN4oEc8Eqw/ pnKH1cteeADkept+P0PtPEkwMwG2idrVSddwz4UZRWbJ1vCQg9PdQRJ8yfh9vIJU0s+XUFUW9iLI I6Cj8SbxPygRryxFUoeDb6w34XDe/+l6sFoyl1iGf302XJLZKJXkes1VAJPqRcmaenN7etZrPPMT Jl9v5Je4PxRRr/1xuleRvbXlWuGthDx6/0QvpJ/2q6F/awjdISRliwzGdUDzR4QuSdd5hUl2DcKK 1sc+orYVJUgUqn4VowQxJN61bZfYHygWPScZXyDAwlmONV9VTby9yjXA5JmyX29aKlilQSv1OzsG SvVG3M0S/RdRGslchLOs45BuGddIiiz0cI33cv/4GYKWmulgWQSkDqCGnzcKRqOZ1AvMSr4SzxxO 6IhLOf0wPa6f55ZVDxMCXQyCZRIK/igHLqxlMFPSXqiVKQlqsagVY8IVkD6GRoXiS2KYuYGf6n1/ e8oxQNu+S+cXj9DsqGn3fTSSdhtG7pFF0fuNqQkFhR6O1bEOLSq2quPTKYXX4qO7cW5Li73cpiEm pdv0YUXNfXLsAPMDJjcfN8P2Y57qWh8/77BoOB/F4YIPZP7VCNKhm8tXLpGrHvlt6eZb96IZJiUI bu8wwCTTGF/+HUENEs8pPIEBAafCsawvZdMEfBtQT56E8lU/sgxgbsTMNSZ/m3Zc1vDoGcWvK1x5 QtfdOll9qXYDb/ZVB41ZePobCYTPAsttydDVL/z9IfsjtNdu9oE6J7mr3NnxkUYmPAHzv2LaQuGD rgi3XHpl7BtW/FAJrbaiAXMp8yHaQyeQc3oLWZ1lvIHgqpjYS2gcM2AAfV88Bo9AdhPLEkqiQoXA wtNOMBXE6SAtWWFHO0SQQ0g9uNOZUOzLbS/K/c5/JjzeNmWMiAS3NfSUgIJmO2Avn/c//iu62gXZ GaogPsYWENOFvx0q/e9ET4KvIcg0LQb/j0Vi4ScQQPr9E91hVWS62BWrRoB5lvTRVRpvse2mGNB4 UauHvzTB2iYB7xvfHM+HCXRS3ANchy2CQt2Kfr4zpNz5E1rkh0I69ifVdoXs+jlkSi4g/HtN+720 mXyACBm6sU40RWAu5GVVdrw3Lp+kMqkBeXrZG8XuE6c5CAXMq40z1mqvvK6AJa9btfZ2ZcnI1cte JgeWH24Vug9A/xwdA/WB26BRlbfSTtbpQRN3FyTQjHiP8OAdv0kDahrNSqAeVDovbtRS2bYMoYlE kbP7FmDjE0VIM8R51k+7sTJHE/WMefYOsQoXj/3GMWPGqdHK0Hyz5RLNKidb3J9T2OMW0kYiK/s9 cDglaxfxbJ2jAvUjmOeIHX7K74nZj8QuZepLO9h0MvhHXhAkJBoztqItctJiP8gvrRSelySAS7X+ gN2MMutdTvKjBDA47Ss7J6vgycqfqDpjr6LjfYMKqH/8P8BruuCh8tPzn20LNEHyZ1Y5941t5OG7 e5qkdcdkpRP52cIK1uGttlhvQu65cUCxqL7dXwJUStyUOlyz9Ps9DBKs25klcsvQKNI97k5LWy0G 6XkmHuw575QrmyNSit18KWbA8NpAAiROtjTzwtTudavrrZttRbqGdXE27zrsr+LUjJGCjFTqWxDv E8cvnPkKRD2CJ7IKXNdRwYBumqPcUiTmnIi2Hx9XtC9qMQ4/beFkfQlBsul0QomaNU7DLRJeAcRH 5ZObng2bi5puM6uHgvgoi1KXAm7dBedfPJ5MIu0jTexWRXMXwr7idVVsixqLWAUuiZhNB9icosfk a279rQA8ZC9mA4mO02aE3xHqff+awvEgGhQ6KDnBtv5bTgbIVJnAWK3ft4Bn53+wJcPVuITI2dd3 fpsn1UE+xMRnscEAmJnhaB92Aa/s3Q8OT6V1wy5dVIm5jemYjVpA/EDulfF29NixUwT2W6PVX8fJ uHaMlkORwOBkXeb83fzxE7xtVYid6IUHwtrayYkhzs8QOuyEK4KH7pMa5I+N1g+KNjBUjLEiTvSz yIN4PYHE8ZHWOLx1sFIcLTCdfdiZUYaYt17JY8ice2iJOurWZQdnaF+sAsf+mbhipCvg8LHqELhV SY/eZX5Kgtn0vbqCMjBsCGCNYpt6cQJ8cd6yb+jW1ORky0h4KJ6Yo3kg5J6zmGhKTVD0DEZiQAU1 eCOkdMFR/UXlfSFFnrV4lBL7hkSvMEq0uLgByUuCanCHA2WgMXc8Ob9ykaZmYNY1JXiFboSnzIeY skGCr9KhueDMk+hWVWQ2QsbFl/bJz1Vp8DA3igeayLaFWXh/HFNJj8IEEZKVpeFDCP6zl00T0Mra OjvA2BScviQ+sTQrSMS5KSC2OCoZr8S8MAJZq2mXJxlzPVCx6B3ojmCLXZZM8H/897owb+qW9vSS yCtuHH8ZYzfvK7+aDl8F/ivuQkQ34KHYMPkX/3WLJAzGWt/pCY86G6nbdWRgbKPQVbei5oCB66H2 KohxU6w800iMG7W2woJSJjsgSgjZzG2OpLwPEUrEcebFL++0XMzxrHF9rciU9/Aqc8pGYW011zVS pZDQzCgAr6sU9IoLdj20kubReFUStlCKfJyk/qZnpSveE2haTVtMlnuR87URNPQaVnNbJ5uiGE/M nmieawHGh4peb/nLPkj72ZRVzaJB/+0vnYD7oFXgPAJboGt50VhoVj4eNjoNqbTFC3rpyHQmkbey UvEEZ95p21VkaxLFgI10Tr1ODM0TvWEO8nDog6MPjzYUVKML3B9a22izwjRoLHV4fCmdX+h97p7s Ga8RkXc33LHEIdQfoOGPosg1FIjxum/RSVD6WWSjldfJ+kCAJFhtfU6Qdtu0TzCn8ylmDDberD08 T+OofZ+DEryNkiR4fdgpSFqaExg3ndg3nWwO9phegkK0ipX6SMhgVt7j5Mfq/ILMYBfym6Ms9oKp HlXsNDZD2zJSRDZf0KOibNG30P8iUWY9xhlrjreJdRn0l4TyqC5Mk+Cp0oGB2yoJmapcYSyq8jr5 +u6stSQCtx9a+mlEfsRzVNrlKsYynJz9wrlL6ZFoAj1LYvN32IqgxyXOGVrUYj3YuxtzEqzCzFeh pzQ226BVrjYeNM+unV5w/kgEawhpW1JFPbgDEZe40N2Z3jDXkBFaWqWvMMWscKAlLBZCC4C9BAqf KvHpZITcyQcJsxspj9BuSPPqNNgxj64uBHqo/xWIH07B67/KyGKdCXQu2o5fgupIcAXAieF75ael Y4H4AouoJCLYhYM9pyMZfR0vxQbTAIAkJshb7LBjJYVNsGqkrjT8T+C4btePkRyZmRco3Whsq9uj AWI3mVR3kxqlUp7d1mzg8RZuF1AgFmuPEjb3gNtM/AiJVzXP/frzyFcVX2OR/mewE5FMoRzv6X8p Rt/w35OO9eYjg9JizikhKwp4S99eA/JIETV/AzvF+VQXYqBKXn5ZkaQSAW9Ihw/8gwnwwMqGtaj9 hXZRIF9s2Zr6MxdMWTINT/7S/nIbgD7YPibuiH8uLD3ZcA7xu66q5IBQV0kd+z+jAr0NcHlQ8hrZ 5ue6srdayE/w+m4sIl2n57gj0Gv6p/kTM6dwDCQn2DmNmURtrwI5fgItZGh15g/Y4pjEweRJCCUu oTVS1Fedp5mmHpx/ZWA9LbMI83pfnJ1sbfWiyFeeyJ7DMr2qLPNu6MvjWaC7BXaRDaPzWkM23TXb 2xFztaMc5V6wXhxt4rsnSabS1lS0LCvP4xSGWd1nCf2VxKQk4lLcJfyVj4yY/MpG7EIftrIP4jcb 66lYzknTOoIQ/JHGHuKLuz8eJdXpnymVKrOvsPYoH6hjkpSZzj8DSF/qOOy4r35HsScDpQlspSrg PjR6lgPjXf8MVWLUSUwMuh/MXyxzeXZOa2lXQJhj7PFR+x589W7MvEBazsPfxCL9DIvpxU6Cexks tyZ6+ftMMDRQbNx+ejzS70FmX4fvUBgZwYZn4l221HBOfTyN1IF3EdTF9VPsxIgsJMAPesGQs7Wk rv8lKoZ44mvQ7lRsA2W2hy1cs3qHfNzZ9AY/2myKHkao2tsXK2D+cCM9buiER+V0GP3s1vb/UET5 BZnvrjTelL4XcDfJs16vZuAZuNIXzHvtc3zLv3P/lbiRGbqnf3UmWvZNHRh/yCQiQ/IJZX6hUDuq dN8GvCz/7xHuzW0OQ2k1xDF7AlJMcV46SiiNZD0jsShigJ2vcWny+8CGag+tMwrO7ONQur1w471J JdiPUSXsAZYBfwGuD7CwbogmZXXNcq8CNLO6ubO/5A/L4qIiAz8lkYMUEz4TC6USXLELYGF8RNof qXyhTj13i0ln5hnm8PahaHRctm+MnLHc4C/MbgbaKRhtZ+fc9hMEW+7mkgZhcEagm8IONDT6STsm G9Lf5SXxwdhKdqfSxoe0CYJCVAZfk7RJMotV6x1klqAoqb5Sx8lA/+SM7FMq3WqkoEXb5K+Flxqy J9AMac1mepZjw8SVagbLmE/invWZbju0S+DbeGRMAslo3/VWQa+hg0gs14zBhneFzl/0uX4/Vcv6 9VWGQnG8OH3tfcd6x6Pv2TAA8WzEa5xEAYooXVlwuUt3pP8Vd+Q8A6ALsFCGWl6g38UG4eZm8B2i M9Mqi13OtO7AAz+UE56ndDBYfUlnu7duZWtsJtrDaezurwoqzwjUEoKkm837GiNCwQH/tfpudCgl 0kI6baGU4ltJNE6xG/vnhSaLDY/v5vCU+mc+x8tBdfzhEl5rXW0wg77ceat9J3A7Kiv+FZ3LxBLi cQLu+mv4bi4iP9vKoRFnxbtgY/V1+KfjXJqECG+L9S1NL4d3VzYS0xoWzxTfLJmv0qeAe6WUv4t4 TQCZ/lEZpDaL88XO5ykBZZ38fBtLsxTAPRnBJ04uA9QNWImzrl5N+PGFOsaQ5RTVoS5mfUBO8agU teI/W8fGet5CumDsRrGGr+/8cwxKp3H9xPPGBQyBvfNc7sLJmvm7jUWrBVT+mTd6rvybBiB1DLsU LW7xOAQR8KJky/rAI4ncPj+WUoccWHgB/aVacie0t/v+exntvN0tJvQexQdZ/h2+qbup/Z+Qb+20 QuB5s9mkBg1uf8Gw1h+JrrGhfsbT2BFcyautPlVcq4j3D9SuzKuqIkRoryVIOifo5TZu6orvLLlV etAPjtAE1NUK4nRIq+zP6XI2/VLOGn6s2yLSfxdhqdPo5lEU89FrUM2yLbV5ZTPxre+G8A2gL84n bRBu2wJUjOImkciVGZmDA5ms1+wGc74vM0JUs958bpxSuuzSGo1tcSIdQR4PehGNiHnBiO5A1Blr 6VCHnJ0Xq9adQIttyd4cYOQEwJEJyWCYkxZU5ydF7I7F6mRTtTcb+YvXJYaK8HBD7yG/9BBeZ7N3 eEBaC+kJqGAINAt9twqQCHzM35k5DABFeAYVRl+CLsHrKqnJtjD8aF4xFhVNbuPOwi3YHCJXVeWm HFeuO/LWGAT7Y1QRGq439TssdDtnZQHmCVMT8OOYGuZTO/pt12AenHX7tQpL30nog+8LAXkIP3Z2 zTbRYiauXMT8L3IYbadJZMnpk4pKq+/QJEN6SHULf6UkZwOBENkltaA72ADH+NxzUEpibPAEPpdg IJtpJgXUP8ngWyavdAFYEhqGA024PRVpkMh+Uy8N2kRiiUF5H36LJQGv9A0ZgnAU6LYHoKbdGHis WxWT2JZ10BpRuSloLMCYptC7i1ctdQCbuaa5jdysOANSxtv5KDwjVVVNJGGnYuG0B9/gG/NNa4IU rzE0DRbcKajEK3YO+T6hTbxZ8Llh2tV8lghxPP5UbS3jzxI4pWy6KBxAqOgOgWTEtewrLAA6n1AP y18i1vEuBlNyNOkA5j9uJJq4mcqvOptlYisOrrShvKiZ778lv42N8AfVUCkZERfBXZilE8JnKX9V DbbpgloDtHSJuxCAAhSGIBjWWJxFszL9xaLoDxGpHfjvSbM9MWwMsUoKMLaEAFNGfiLK4gySU/1u nX+Hj9vvsLy13MCShocOQb20VDp5qqayK389PuqMyySZ9B9AY2CvR1oP2wmX8lFq0tByFlrpsuVA RXlRVKmlEcjaemItcw6q9Th3BlKdaVPTH2n97i4iRAawsWCuYl5vAg9GdgLK32Zg4+9SBM6oDYAU yb1V/f8hyUYy3Uec7E6+HeLCNGlrC4Avw3rjbDrW7xLpMfLlaTF+mfc8IKPYnUIHLrUnkTx1Nuhx cHduAo5Mc3ef0Ge9A88xKBVimnxySicBBoIAPbqKN4B8txHOWTWHXwf7jMlLEH34ATQ/62w07t6v f1PwXrkb2Bbpj9QU+crmExzOzXHu1aTi9lm6X8kQ0fkxsKs8UF2eMKa7FNgvF0zPG0gd3Ib2G1Zm iUcIUQm92P7qByJqSvw4fyJXUE4lK3IiusDSpsn9qkET9nlg0lUJXZtjZvqCERHNV5SozY/y0ELT losJSs1mdFE3+48YFg03/UXJcWrJQXWU/a1W2rDLa7AUEPRmf1T22uFfOYVinBQs7Ko/lggh9Ryn 1KkQhd+9NE9GCgVbi99FvBNyVjLOgl8+HcodBO+knI7Wt+vk5CWbasvtA5bpwJ1kZG+RJC61n4I7 l0IItDJALX0rZRe53tezI4no4p9C7PKJ1t/2YoE1eDlHNAmORpLBxZwQXu3r9+HLzmCquG6AXi/R 1KF+h3M99FYTCHFOPf7o2cqs8BcsHt09/sBpP8oYpNyiQB+lMBoKDegTa9/3hj09t9vYBvxYZQvG hRqmx+odUzkqVlV+C/2mTUv02KxbyGgyl5EB01CLURzLH/IoiB5fnHL7Xybf+T8b8XK94t5TGrbf 1JSTXsagIpoORuhYJGem97H1k8nToCbtpqxdp/tfoIu4bb4HleGr7xMu05erTXMRaRZKDUzQDtwK eLElWj1dxKIKK8OnxFSSASuWNxN3ZwX1JGswqB3oxI07VDLtzLH6Bk9QwadTQK0TeYXHuKHbaOge 3VRhzJefULtGM1gwuvBcA7s/g8ExpLqmdhTzY6Z9yZOvzXguy9dNC/g48DNZ4qPbUro5uw0r97ME 07cPEHYdc2lBydWn7dHlHqIXAW2bzkpizDZeaxSzLTIaDJCAJRLKVzR+OLnXxDsmL3F97TKmMU31 rs/Mp/3/FLscekA0jtcR4CKCVHw68nuYFi+GfGxD0nW9KX+B8KEbQe8+CK0JA/UZdF4sIQvylvZ5 X0I/77G+pY78xWwSUsWetxjjqpKAUpQfT/IQ+W4SyA96zA1aArt4nvVF/47A2vh4d7p5CIctmQok 04I69jd+IcsDb3aXzwkbaPySEdwpxl0wQki31YTPbNel/jOcyFcASexalSLOM/4X4jUbmb83nzkK gYe88+RhGMtFXTp2r10WDyc0PEsmYt4pM8TctCTc59pCkZCXnD9USJtD3lTw2yS1n6gg99hMS6v9 TaFX9Iv+S9in7RMxiaIaRAcnrql5OAJ0mT9jk77fiX+iRzoZpIzE6TS9hOXDEdA24KNS4p+vcVaY ytY/KlZ2nXXwdeFNVRlLUmw1iHHYdSd9KKOgv/0q7X5jU3VFttZbjEMt1Gr2tJ93aGCZtm2jt4HX 61+4eJsUN2Wn0rD01Eavvgfvj6UN9qwrsRfwl0Q7DSzXJAGRSugEoqEORWsL1HYvhQ3Bf6u9eBG9 0/q9RxGwYQ96xTTsXQEdK99oAgCtp4jJcuFOqaDQYIr+o0RuImCIWDm3LlqDjUulTLFwUwxc2+GM 2jcfnFVrsD56RlkK49MHRFcN2Y8TmFH9N7gN8tGdGpxgunESkhgo02OwHv7ZvjJDcrmPHUSJIdIb OK/OVdSQJc7nJE7zwo+ESgKz90E//14jexarHZTQAQAKHtV3dtIS58XVK0BSbmlf6l1pMF4Ca8OR kenjif7CZgZO5DYPCmFaMpNu/WuYZ76hzqLXhUpFHhauo/F9DvOQ24br3M7qNcOx3SRa/IF0JX1f l6p1jKCmCI83Nca6CC7P8cPLu6tMfmP0WM//CxI+A78V8B2ikcqOk8kv0000qRJJn1YvsmRm7KWs PA0OFPXuRsrGj+VAKiF1NFh0FMq3TsojHqNDNtj9FC2GeYyl82r4QhQ1lb865xrU3Su9NhG+OffL na69lYZTxDxzsFQ6N2q3FPvqOcvaa7myMRkGD+H5Oy2ZBW1oO+h3C+fpvwAc+SoMXDo1ATD524Da MUpxl0wVp+K1198lUMxIyhKhFpOyF3XNwPta8CufWGsrbjUQ42G9oLHdq1sQxin6yIxC+EiM2cpy 16C1QXD3k6TDZsh/MQrZBuR2im+OqRJQTbLBVS8fClfVBb1ZxcrnsR5N8+7yxOLhSbDv9AtPTGqb YZECx56YGCDRt4D0+WaR/2lD3rpIGhvGJNItAo7oAkbsQmAd863ItY0SBDQ3yhI6MD56B2tYp6pt ZmKC44VIbdWS0JvE8axlFVFQA12yPoc8Ctny50UcTzWuXrjVf4yBL+HivAvcLEKVwlbUaUc23mT8 Arg+nNAvCTMvtXIwbWyBeqpPJPQNDLQlJYzFUosdNqGtT+MeARt0o06+xJASPb0yyPAgdno7WHfF 0IHSZs6aGl+fP3usG61mytD7UdyKGoU24gWiuhWHGL3kvDRMBu3uvyZpTlCSkLTFnPAo1XiBBERJ UxhFcbC7L0wLQQxO87s//8xYvriDolQLi9PfpFV5EyUoFwJ9FwUWM1WwRi+n20h9u4RxibHPJn4Z yPiLqQiUKkDeSTE98wxPFODBnXubji06IykMxLl+rf/El11n52epDvtqnsmlDSQ6BfFbLEbOtsnq vrvSr4UTszjLXtAwJ8fDNIW+NyApE405LmYOExGkzkui2fQ6Eka40mAb1g6s4iOyH0yPgkJc5XOg KNhBTPakiSYsvmfMXGa62QBQtlk9FXEGdoROhlDUIRPMV+IB3qOscQO4SxiDgkmYKft6gssHwdav 8jP0Ja0kUoXg+XCGIwu6VMzrsAoBu4IrqE7K51Po4c7DGuK1e4y6n88Z7BTHZcUPMjotFmOsXQK4 6KnVe+uIm6xHhKhhgos3LF9CoezT65XfHeQWzL5Iomo/lMISzvkCo1kTvKqR8A5yJSGXbj7Vt5WQ W9gljCTabcTth9p/RAHQureu/fwIP8Lchgrfo9QRR78Chobpt5cYiH+4H6LYCC+xmkmmvn3A9AjH ++p2lTnv82k6yYGL5No86f9drwTYxokTiQnt3SZGvg+I5v0QbvMkRQwtcO0fCCdhUiuKkY/Eg/Hu LdxkAQ7CYNDympYYdHi9QjOif/XtNHBkCPLNfflcIDsaLSJZFxQ5vQGTzn0aaMlCr147/Q0rMHj7 amibWeDcV+tgNWejjE2fW0K5dEAmOMi0MjKy/1b70NbYDfz3t8bFgWq28ye/mCi0UuxB7njwKsNp 1+w2uHxcM2xXvssRNDTQb6pNVa27d72IvcqMNjIfD+cZ2aMxp+Wr+Cqrdf9tRznWgIjjHo1aeZ/d TdkG9v7OUfTL3gcitQ4M9bb6aycMF0vybq0gVAIf+nsF1RAlRuN9cr5xdrBnalK/t7U59Du5Qowv eqF0V7Cqyt0WOvF/FhvtlhfzS+rLXhgfiVVdP71sA0S9su+ytv9cavx0AT7AODR3+o28sGacSDQl SUUv0X9Xz2RgQKTckEKX4N1xU1wcwG7xMpSjARHV+5mGNQmBXEayzwz+rBXPaEu/umfbSPvxSIxg XxAMwG7RjRCUoaGlnodWewC0psm/D2l1CNAqNakwUUEunXO9MT5zFKUBYdtjLsA3nL9X2ZU2l68V ChvCfjPni+BZvgxOwF0waQDxhSka7/vX3SxQ40j+uSzngkuDKmXzLlE2bhVJkxLe6dYqIGVTsqza lvA2cBOf2oCol1nNV6JOYwCPz9xOSkQBlI+Lza7uGRaOfBI328YDVzTzw8GKRADjiVYQrAmniL9j ZBBsWJPQGC4W3k/VdoOiBkAfjNiDVPGpzHIYuhyQWFlAxdbSH2+NDuZLqRQSJj2hS0EIYSmbmdbS Hdf8qXgZOgYWuZGuniiLjnxQOdFpKqHmgoxS0nPOEc5D8b9p5Kq5svRQoA4mwqha+blyIY4EMo9v Mbpg+fiGxSaN6X+E6bduIxjHHKiOm0rgJo8vvOUxTRyhMSpFWOVwdgdS4BWBOoJ5g277K/eIZMXx I8WD4GipF4PMq7uT7ERC/sH/bU8pq4UlmUFba5qDElb5nm3ug97Fy/cAX1u4jMsCFuK0UQCjWKXm inmcCVsyGrPIf3BnCnijrx4lxrvjWwJ5RqZ+rHz8bId8LVpQji032t8w8LvEGi+ZgWXLPeSiVQvT 28SGDhcl0K0IKu+deHC7zgZ2gBoracxLoNLOoDAfGydZ1qE4y/KlIZ2s4kJk83RZm4gG4OEzZvW1 jrLDD6JLQCIDUhJN/1oxIv3ht5ir60qMyBNATLBf6wxrtK6DnoDnpNFlr0I81Oz3miKHKD67XD8i q66GJMYJ0bDHb4QcwLBUSOri5KDvNHWrZ88C9XIxt5c10INJNopuTK1tf+XbF24sg/mMGFYjddRV 9ClgQW+Ebj8JoXFCh8Wqqn2osZSONL0rePxfxm3+h3drvzw6Yqo43RE52b5RgPaR2nK43ityfmTo hCjuj/LbChgayo/W3ds/+oXJYWT+R2xyT1zqBxvnY52VlLS45I63eOc0zLJgwIRKnwZ3lcafm5ZR KvaIGWqYukOxpU6Li4ROcZbre+AVdhNWbuHfKdf0kTWOb2dh+MTw7awzs8RWV0/CbDPAYpWrTeGo obnSIg2PG1mZRrRHA7P13BVi1L2HlTGW1zTV7euRoeABxtXT+bGIpbWFvKqV3g06gkMyPxG98FkR NkXgRPRutTUkgKD+NVIiG4Hm1tOEeKmNANhPDNrVKUfzjLJUOPqwgYE6iDYhnA6n+7BH17sMoimI LsjbquACJZkguueeSnvFHxptH8VXc9uTp/yyAGv9/9C7LmGgVQs7QLjcCh42zWJANCowSSUEpM12 SleR0eHI9QvSnnrg/B3iEFpjADfBuuIfM0rUDv5fcjtkpZH0ZS8+xxa+4kPRAikOdbWigrFKhBMG IUuubqbP03aqwE64UEMo0W1E4tzu+7rPO3pFuFGRV1tV0KB0me7ovK27E+ZAqBXidCrgFgltqkSb kzHRQvxaPtDN5j4ZALFEvXw9tMzdv19FPo6/nj2yCqdVJFCCJTi1iJXmDYnporZI8J9DQ1SKoaXs ZAZc8q/cXe/jTU7m/TJSHriIcr87FFQMs/2qX8+VxhgIfBy82tTUesgmCQd7qlvoK/0aq0K0vOh7 heEfZVVecJ4swYu2XscdxuXmizBjWy6pBYggLA/Jdo0gE/Tn7pQbORvsugHvh5pQ8V0mwfdeXJab wCDR7VRFIo7egHXc1QKFPz/N3/6RU9vrX4YoyUt5p+pxJXQmIGuvijyXFhhiHsoeT3Qi9Un6dfIo F/S4zdso/pPBpDubSlqXPkROGntJgoKsjIxvW2baWL5MO71Ysf3eFn344tozj70onPRFpZaR7PK4 m75ZCyRH8DqejXtBkQo9wZtZAlGz5sQM+ehr3WVUomfjR98SWS6cB3eIlmDtKsv8qdnM2q1cSLq4 sysJks6nxYjI9nMnzoIU8iPpNFUd7LvdnaWMwrK9NJD4Jl6ufrQGPfYS/oTPkb+X0SKvnoIbFeUI CczTrLmKLiUiKOc2mcpbgoFNzurSGjiWVgoSdrFj+ZcIuSUBnvEHysrmSrZ9hn55tS0lmbxiAoaL KmyyTfl23FSBzsJpIzqOsqu/M1w24GcrcjEgN777cm0bQqw0M9mdAwbAxaBI+VbQuejC10J3aVVB lyEcRKPjEVxqKjNFutSvZ/q52cXt6z7rYGBYcqmXMUlbg5TNwx2ZBu1yxXfScIk/O3F+woVId/ng q7h20TK5AELNZrOqGftO3mhCWJKBCP1/dk+qER2wQc80FhY39Y8inbBpcwcgy2bgBDj+DWMQpVZg kKWjHadZ2I9NKF4sqJ9PRXAnA7ECy8pRWNlW473GsifO3AH0JlCcJPGG2fnl8ezOpNbwbxgrPTF2 5NDU33EdgQneuKTpxxkoD0ljhTBzQhNOXuPgqaR03rZMVRfrcuJxfk11225OG3tWowLLPZuMuBVH HrNh9aPTYH1t8P6E/n0V75+T4m3C1LzBSC0yAD25GGoQnlY60giupd94FSJNStXsGnl7L/MYxIvt JnUfaYixKsWGzDTnMlnKs7ryyxvN6ICTcIi7xl55oonRdfzXa9IVJTkggCtyS4Mz8upvO0zGngEQ 9BsZGBYXcZB4L1wW8UYGVfL9NnmTzlZudifnTl0lWoDZEdyaJaUNArGjopdMa4+dh1//V0m/Xzk3 lZexBN0eul2aSUaxeWCIjgGX0iNlV/a+CGTO4MDF3QkfHI2oMUquWCBlyxdYVzVkKJGWcDZdqtD4 xt7TQpJdkFyU686tFQVS2ILA0V6oWOUkO4ecNPtumv0orx+bgBUAtthA9/49FVmUmxkXeQVYoZH0 VrBZ+gGZDisStZ8nOcWjadfRZLCLfdfeynBovmlb2R+3E3fRXotAbx+4LzGRQHSu7RlyELI2bYBe +dB8kqmqteTHHpJgEPZoznvbWtCJyuDftGIxu9nYpgQuK7cls4o/o3UM6U5nsSTC31e5gPg8nScI kskKJK+uka8MB4g3ebdFsGbwI1sy2iWvECP9wtjGiiMEGWevBYkGmyqjGdrIQtqIPHY5PuSIds/Z W4JvtuZoVt/6RrTvlEJeawHEz/fq050axKYks/dcw3GPn9pi8E64HoGc027xvEguBS49+VPcNTvI Nvf/CrHagWxoE5bTuCwZulStTtjr54oVziVCy0vIUItJGsP/8amA8YCZ+CNserWpDdobIc4gmcrU ktSGuTCdjT2LId1Kr8bj3QZSbgxX7U0ukEnxznFw/x0dOeNEtQGHclx6oQOtAvRNr7YQ2YhhlYIA AoqREdznHZD2n8St3zptSR23AQ2CnXYLGjIe5OvKnbZ7wEYZ5A9PldBY64cbCH9CAdygtxQnmBnb BXHOwy3JCAuo6l8BEmlS4h6i1dQLk7qJVFuJPYMNyCHXwTc/Ejekgs75vEl8nxnzHGPWXx1k8NQ9 Ib6YiAdkGuCOZNGyjncHSt60kqWoZUIVWfsi/78we31Wp2XxJlurTMiOCr7CYXI4HnliOz0ZW73M 6PUfDPasiayBm1aFR2bkYfk3vlD/y7jaHHU1vOWe1Skz/qbsI3J/9tef93tyC6ctEQq8bU1cD2wD Z/MUVAGHGrlvlDJ9A4EZF+K9tOrqPjT5eprxekbqXpc+qQE5+AL9xvS2oH4t4RFfZKweDK7cNVMk /cZtX6jybNNcQbdG2PYe/96k7LxmWGCl0oOAWgcLPaWi7RR4lnS3PbVxUS13FifUJmKTVDHvgLnu FF4JLAxj1wfYGV00Fkw1gWZGlKFxOkdf/J5udi8zYDW/j3qg2LVwdpl7zoIWMwXoTD1xTCPSYvVH mD4rChssG2HJt0L7Q69CFS5ww22FEVQqC4cjn/9MZRcSaPOIjz3RKHD3jZzBScJWW6h0vfxrjYIB kP1vnKQlo6ixnT1uRkgf3bUO0C+brI5rSUTv5WKlW3ScqhHUC5UndMdfKQu4yQWVAtatokCNYdzP rDa0PLJNUuG5a5KVJZZ/5yDwdVG4OYMd+Uv897+xFuTSBhaCI531a/ve8DLa06igJa56aX69xz0/ BFu/yqWfea1v9OxTChNvbzEkN+ceas3TVLNMN1f3/phhouCn5cIvLoOOLc5URBM0aCt1S/e9izid 93rmk8YdEOtpvULFlinasB2Wz9cETrtv2fw2tb7VDB4AsQJNU6og/hYoDY4Wow9w4HQcBMRaqBDN jXkZ1O97xy9Ln4cFUHSzdlSRkbZO9z0D6s3gbah8cg0WI3+kP09SDfgBQW98X5hSbh+mOjRGRutr NMhJWqow9o+N0x+2kLqPrr1dbeYbsT8okNPtsmSV3j3riLQa5Il3Ip5xvlVsvRpBHp0kHi3Iatre ZYqMCQ6Qr+ejxwm8DI0NiaEI2bjpkRp2PdKXXcSXqrz36EglSGjoGs9O6iHhNObbfhWmPEBhKgQq vdepOa2oP7mAKkPHL4Jquvx7WgqgN3BY+ShjAYcbaS8TKy5HqxPRPV/3BJhRgd9TPYV0H6wI81RN 2u/6DKWssqGFUhCwI3racyKbTUf3rG1vs8n6dEFJJd7qJxuvoC6lVunNuuQ5KUMslKZFpjnpHdGZ WFNaluRhE7tVirfcPNFhr0hMzBXBoEQjUUHxLxAPOydWsA4Xqo9EVb0/9aqBFaWYWzJPCNx13evN joPtfzfOwuJ22WS+IWcJ4VABe1p0Q+rsDcwYSKs9oR2XjY9X07nfjqt4NcLFg+Ym/d0Fh3yAgFAL M/ao/0ij3yKRZcRDF9rN+SokRU6HiAxeME2/by5huOlGhADAiJQLVO/lz4QJMT8G7UftEv4VU1GE zRwdu8arlYGiiW1KHhsElVYPJS7z+b7IAynbQjlT/zR9ROAEB0g4b/YhKDamBuRFBl6vOE++MBBu dp8Zq0qwS2tuVjrdYeBvj+Gin/eo7481+JFIMAKbMBS6ZhSBqaGQ/WJBJODotqByXo5cUqn1lc+W pyhIuDCEQh8fsmeSTaUduDryhFaADP6D/QPOLcZ2sSaGnUYg2ecBDiyA0hTre8Q1KmZNm4b2RPIE WCOWW5IqxgC8J0Lh/rJmQwPTAt8xiL5jwV8li1l+Fteb8dqWJMRFG4J3Clx/T3IxscIqr6q9RlBP jMiUrKercvGWeupRMuuQtqeYZeoxSI3alxh9KOFiW9Nla7RCsh0Safz8nSHx/EfPlm0dUZTiPlOf AfbwqqD/pmlSxHAqp0Q7MTtbOCyXE0RXXghwnikjwTHscB9wti9RT+T5MLn5oHaPQr6R8ykFV/Oy vshee+TtMJF9DsUJ3iHGG309iXtP75Ffg+TqigDZXwzNfKSfBAOf6rEVED4LegTGGo3QKN5p2xGO ad7E20J/deDjImnb1sutdEC9mClg+TqcWA24Gd4kVDUG4iLvVv0frXDAofQvAatV8pwKlexc782Q 3PCar+MlGZ84C+eQ0Wb2fgyXA1tGqyoBG+YFiiFMEGdPNwd9r7cLgRmnZm3Fry4KUvLzWkLlYkMf LGe+jzIOUt2qLydrXWITOefmY+I1dnDOhFYpvLkkWrkdWYpaO7LhrlafI5BCtdQSYDgaegACLqDe yaWYPbeyVg4jx6+VwPLyjN5GaUc7iFywF47jnj8r3VwX3oHtXBvYiSugcINl2QJvIFu05e1cLK83 ZosNBI9PwNZKKRb8w6c9BZpPx89ffXQY/QYvyFq3ykyE9SFQqAcG8SNhW/uWdxjGPd4D418ghOw5 AaTiR+K9mAgS0f7/kBBfJWPqTJxZdwF/iWOVzl1vVUlPKPXM054U4opF0PT1jglcQZaTVosZswz2 PSMgFB5XibKSkNt6jjMFKHkpXRb/+04sIh1ZzHIpDzYVs8RKhX4CVMAK3XDMw70K9fziwS1SIzYT KMka1OYrLznmMET1AEgoua1tmnQP9iNKRxn2sjU7LAeOLnfFDo3e7OhSzGhM5e4vZ1qSRjjKMs7b uZVyWr+e64B3psFRo3mXUhg3lvCu02+82G4dmSvOlmcoV4eR//AgMrUAWfbitI639rD0NedyDpAt Pq43w4ds8BO9uNuttMFRBUPH9GErgIYNZjxKA963aE6eVHjYwrJCSBPLi33aEU8c0h8VPeTtXn3d DRjtuXgoh4BCdYNgovSgUuy8G2lkyu588NqRS8c94DH3VfEQ5pNRR8CPvHKk/8iMxFNwuGWZlkSb QhXL+SllpICBvosBE5ZGm+NxgCRUhvV69GVWdNuK/JeyrRxg4U9iANn7Tre2HrejjuaX/JaGUmVA Ytac8o23fdkr8Yt2EXYUQM89g2Q3z5DONJ7bagZ7pJdiaki2pv4DTGgVbRhPe+5rdfrBQUz4CKVA PwoYCImO3GCj+YGTDK5l1AbuQcoJXQ02QFN0JcnJR3Mmzix2atadl6JDjAayeDPLQO+uJcWoUaDf RmBhZrEgzUKzpVxqwvSHTjtGV7/BkA+xLPpuEY50llrARAt308IUOUy8/HGtjTBblrZStMRsIw6C 3WrM/ZSnZjNu4j7OBHjConxaRa4+VtNIeuWuvybHaCXMtVAwJbcTJ/7IX9IcERmvOM2zsH61b4PO YNx5j+GmvQDydleQOuuugQXvyJ/6D8g/8T/l1hZ5iMAkuiBj8TVbCvOwSk46Y+AbFVTxPSFLx8kK pMpmL9OZkJrw9sBwl9pUwOLpj5VWsOPhvXZLBw+zviQa0cJjjuvQg2xlDZE1LDbfQZsbxlGeuEzx KUYc2sflRoRX3bDCfe3NFmPXRXI7XsxuNS/+cvW0Lx7zNFQw80Cr1pMvAnR4HtcZSjvZTIqGV69X UsiN4Nedry2ndTYHTedoR9exG0F8Uze+ikTQyHWMDLQjH5ABMkFVp+JfmSGKuaiBWQNDixdAH2lY eOdvn7LqCbZeN0FpU4oZxkeIaoGYgD0QtH4KXtzZ0as/9CABP1lWDjkJ3VDOAn1DViqaoBmWvycw liw+ltCj3M2U67FKMCpSKeK+xbQQIdb8IEcMMM8fYXdN0LyCogmiaLF/fl9Dtj6/987gIieAgptm pkvHYtpfaDe4FwdMiZ33AfwKNMi3irbOpefmNZOyC2Uy4aXph5Gcl3NNZewrlIoF2x/4RuyKqEJO NLcCghfZGo6ODeAftpLOhNnUTpluiA0XGwayICO0qMKU6dzqAa8s88dOM75s+Pr19dggn9b7aAiR NJXKC/HpN1tKeK6LeXjqoAOxbwE9rHl9rnHKq3nT0F1RMgCdXalw0GBiO0V55g2WHXmwuDPfisw8 /8h55Q1WcwpJhe8Gy49G1CP8FvRG98YUNubBTibYzhVLcMQsOqvuJoSmZbWaIOBjAiOhkXcbC7b9 WJQ89TxIdxnfwT5f94OLWO4rKG4YewU219dT+v1CyrAL9fUgTWwePnRrraQabbWNeUIVeyVX1JDq eKYy10Jfy8LFyXxGLfNyj4LaybOiblbmKLFhiXM3n7tbQK1ZBLDR31BMqvgz8eMKB87SgU7QM3eQ WheP4AcyPgsRof1d9l9xKxN11zE7Hpb1Y030Ol9Wyksw+IHmHEIKXJcriTQ61y8vhQ3TRE8JmtxX 7PUrMyjr4tdvP4IutkbQiSDdiDuWBIQqzzsZHFcpomTWR0+hY9i7WJd/+uo/M4URyB9CStvBw7LE Njc01edcCLFgYwr366oCocd+3XqJRRG8YFlKQC9D+MMocuGzqbkS1oaSwuDDpd9P/prRLgPmEybG Kw0wQWl+xssX1S/hpDEbnezJZKHUu67GDfNb63OE4YT4ZA+qK6n3QVFmPdrC28LClzVRlioc8Kux AnCLquwz4nIR49MOiwQalA75gyNyK2es0x5hyVAeHJqPVOynDC+zCZS9oDODR3FvEkSPfVe7ddRy XdeDfInfbizl5nCkNregGwOwvqCGFhuuKhFEmHuoOQW70JV784ZulYyPPa41MhuvMkTpBixUspNO 9VdRl1SpFUMsBTf8oslfCZqAnaMbRKLDfAEADY69cdXVvtKHtWZtJH4zouWOYUvdbsj0sQo5GBV2 Iqq9PyLjxlyvxDOlcm5rY3Hdh/DT9Bv+na0SHP7y0tCrQ2lA+OY28KsffOfhlETO5Mz32sfaMetn KHyc4LzziDlz4zGsQXUUacZQlxSnsT4jpdqwnElLje3IRfjbzzrKX/vvL9nli3i40EsqxMIZO+4k jTOG7RlXRpmT+cmvFVher0Pzk/+b5KHVVJCj4YlTz7Gj4YSmCmBbp2j8AhYo0xpm2v5W4hdHf/GP fUGQ/hwVMaLwTNYedjCOf4LB7CJFyWXPz635HSvT6ICVOEFhUaWpfOIm0tJ9ggMfjGtuZExs29FT frbmIUckgstf4hSJ/YX4fzTtx4uAmom4YCtO+fCCBmQNyRZ2iNf+Np1G+PD5Za/nD6iYXRH+uzuT HfdM3ZeQYodjCTlETqOy8MUnvCm90nSaOCwpVbRoRUuacV0dSWUjPzTU4wr1/TbrHnkPhoGYKWHs RBrinTkl+X/FsYLidZPnaZfwBlP+K51QNU8yCUE6kPeGU7g/CYAY86DmqkRI6Ek6Mm1iRrSXkAKj r7S0Ei4G8eKGARoxFBtr9tNSMLdKoW/qENfCo0iMzR9BMiMnLPt65GnPijOP7ucYJEQm/uK8Lm79 QK+uqvgBLodorweWLSsE8z48Q5EfFo8fhqOm8hDZw1+buLEh6FeU23PsxqX+vQ59jEzKqrKEHgeK xzyZdb4Cgk0BQ88t6WA6EWJR2jKCuxoA+hFhNbgC0fj5Rvl4R2WhBAI3Wrnk7EFCfo87/kqZNfKU DbTNEIu/8oGmL/O6IDXRLMgYfP4WRkEiOPuqm7h+R5uii9qOsLUQl7r6bY4it8sZvqngeG6H2Ft6 iIkNguOfvQGgarb8lxOlMCfW7Gy0g4UllST2p6UhoZg6vMx0qy9fRviRDVTNkByFYViP5vJSYm6t vhv2iz645DVMvCa38E4Z6kgneXhvAiYjBOwXXCTTkd581ctFARntIZkOB3mdsGjsopbY7A7RIW3n DZdt9fjM6CZCWjRrQcb1UQbkyW3gYpKxHYpLIaCk1Y936g+HZON+U1/wtJnsZOPm9HXf2+Q+Du/m WDNd2FHEISd4RTuTkjADHx8VAVL71PQJg2pEggZWvd6xkoFQLqXGEMn6uRZ9gbBU9KwMXlCNA/ng kEofrw9pEPZPZgL7GHQjnqbfUEnovOt3d21/IuPXxIxQQPaeIyyldEZ3p644fO2w+1CGXejX89gA h59R+4rNdEqJk4WMw3NTpdgm+if0oU0f5nrYNe30gS7cR8vqL8BpjDP+tRXtkm0eLj7Pfq4q6FrZ yoYcQFHM4sPVZWfvLl7PZKUXU0i9J7aodUFl92TS7ebwZkExT6DexaOa0enj9U4U0uavcWMWEIvR CYX2hA7ZgMIgDjpPuAPcwqGGJJX4EJY3X8hmYwk5BRFgPxJ/gsYCHI3vqeq3D1oXIPNl0ezLPCi/ gWl83tL4KuKKPLEY2HPYFB8VfaD/gXUxgWUTTFPJttT/YbcNoIRJDKJ8sFBzidbohfDXVdrhP2b3 ZnbziBx7DACpEdamA11iH11ydETYo/3PKBhuFlJGww9GnAWeiyKgLlqwogEghv1JiZqqh5w8S4CR g2QdZFi5y1Wexp5jm92gbGK37oquWWi6l96ZnR9xJsx13yHh9dAMy7wrjmS7fJgaB/zGbLkSfz1j vfdEqhTgcURLIbEYk8p+44LRC6tW3Tn5q+NaMtXIYMm0e2h1Tx1A8H8BJkK+48PUoI+mZqN+IzEW TXg/ZPg4PWpM88ko4/weBlZiVyrPAZI1F6w3/gLqz/rUV01DKNJYqhtqFlSxlpBT46W20D7xtK+o xBtfIu2NNKwQ2sNWi3ie10zKEDnnkxO7QxFjJSCQmabuA+p9X9GVhhRP5Lit3GYhd5cegrZtPKLJ OIZk5gjmaUje1AjFZlo84YrRgBlHo2NHaxlJhJaQBdtDPf7qYgBAWDf+Zey70vA3aKTzmweBlIVu a4tjz5xGY9YSv4z+ggSwrr864eEUiEuqOou/X/6BownhKihPQlyZR8fOCpWjHt6dx0iq3XRxPM7y OtRd+KRj6LSnDBjCxm9tOne8+FEatWRiz3YK9HY4lQDHQgts4ofNyIGZe5s+JWllZJi5BLG44Q9Y rqIFM/4WPPo9yE/y5DlImGA1Z7eOQv0XYqO7d+tFL8bXajSmlmvMWNs0yXTb3wTNN/Mwj57EFf+9 X3Fd30F2VITV9PzrXXw9gC11GW5HL+0XAhoS6PYN2FVx6Q97h7r2yevfc4dCpX6qg727Fx72tG3E cctxcI+W2UnUyvduflMSAdo+TJtYpqxInpU/XIs6i0KvET7U8KGQ8+RuaUuIdIHXdw61FYoJ7Pq4 EWqnOy3UH7VrDo4BVq8YkUsEyvSW5cF6sY2Mt93SbfUUDQVoVPXTXPJo9oYM28FulWl0y1X8Vvdd i8oETyxX8/dXr2jTlDbD700vdN9J/D6tulc0yVPTzm7dM705xGEYcOHSQapYoPURxuvE68YrX724 s2o9RfgEvxRDtPPKQnyz6t6L1Bx+KYIIHvPRt4vr2J2A1I7OU9ubXWxWEcMFnp3cAztsWxriDOJN f7vLazndu3rW3dmryvFddxHuC7KAVJ5X6V8wqiWiEQaY0vEmAHolZWdAD++lnN+Dtu7Ry12e0c33 nOG4wmmJm+mEuWQp5wU5i7AIRp9kk1x5bOzlfZERgU+sxRx8ujHgBUX37AuLqNo2eJkvUsnMsHF0 ItMbkurPelsvBnWUwBMfe/FlRUm3iief7fOXtXP4+MYTLcPZ9kOQh6K/mw1WDZWULcvIqKhbvFcR D0OXJxGXE97dzLSULSahKy0+LqPLJkhIILsY53vG0EW6m6LdWPeoRWBE0FXbcJvHPUZ3fsJn9LL2 yFeva3ZnEBr0+nLg5dx9lWXxeM9bOkq3NXitTo53+2MELl6ry5XGAJ7gFOYbBVczADT8rdXD2J8W U1iw+PpZrdtawb4jpxyHI5IMs7S8hjzjQqd/H8/DLsCAQPJUzB8gien2D+sEGhKtvbYn4csHzitZ GjJea4oeVCoh085YVon6RYRKl7jIDWtiBVp0qFmwlb+AyI3EbofpizhUDldEShsbeRYSMB/KhF7z LKz/fCHIJCnhmGgfN4Iw8hTXhsQDhPyigL/MXsfWKOaV08QSqjPFnkmbF0hat3e5nkHGL2WO4hk/ vmjsTTZbJzK28U5T1qo8piZduhcdBaAa5TAi734C28s4K6uhYIxp8SPRM3IGKCzyd2xzi3kI0mD8 ULR2HUatUcQOgfb4K8Ot+k9Pe2HLKczEEvLx3JGqM5NG9/nU7VMDIDZGZ18QdY/sQ6zRrgK1vPgN jLa+uAa+jlW4Bx2p3dMoWQefkRgNSJhSCX3jhEfdSH34nd3NPsuYc6x2DE98TU9ZEgJfhq74LoFP /Sla5OrhzRc3t3nrgZXIzZtzOcRp7v4arqbyM8j2ZTD1EaAwxuRRfoY39V33gr67z6L6r6ql9JH7 KOl9tWwyDPN38bUBa9iBPbll5IrNh7VFh7Llyp9Z+qGc786BpVxXzh93qtAfmZB0Zh0ivuen0aB5 WM9rAxqQ5N/WQTvclMCX9LJcJpGIx0TQ+rs4oBgjOh+xZxuoGvI+S8WIh60FH/ZCQzG2s62S6pvY ZFr86hy4OogQK9wCSrfWhqAwXLNE+ZnyxTwF+SeumRjFuukg3pkivX7qJlM7f9v/kS6R3edq3mL8 jEXqPWsLpB+7zZVRFmuuqLFlYYVX0E0HEQ3MEAmKG9UPNcB83FnSSS33ZxXujYTwQqHvlSQDtVSH QiR0+3KTXvxMSauLBVaVy6DnYY0ufEht7+AiEg8lpBnjWOXqKd0y8bi4C9vLwqtEyy+ik8tV0Kna WxIzwi7R6wprDTscKX0ts+CNj2y8en7bpeDfr8PFDpvF+ln8v0LOl5uoTAfHZ5ihaMEW4KU16EXm IFgBBz8gs+QDj2cvZa9mdD8EY2DW2snQvIFoE4aUOIFxZmEAAMGPLajE9k5vmanIp80eOuI8EkRJ azXOJITwYDMlylEIOsECREtnPC5QfpQPdeqyEvLLZrkeqHFrGybdITD1zFc5o4sE1wDDfXnPZKhL xn2N7emo70W+5l7Nn9GLjwL/ZVx6ek+B/8DZpo7J8ivJ33zf+IF80dIlbUtil94k6ompVe0zxrCC ms+I4HU0RSS/LL+c8oTuZIlOA0OmOmyDKWCAwhkfUhP8ggH6pec4UECMidMeaJSfqLsV2+t8RnAL 86S4ZfNSbcdOfT1q3Xj3I4bjF4CSeiaFgLW+cY4JGlhCRsgCknpiiy0Ko+nKkh6Su3M8qGJoV+7A ZGna542F29R2Ot6q2iROV44TPoY8+60V6jjRO+YgkBhSuoDS8jwOT4ZNeZyMBAF927dSrzPZHgK2 egZld94JJPi956MH18Ewm0GGB51Fnp+6PLDn2sGQyhuIVio6HI/gGQEckGdB95L3bjlkaj3EFzrt 9PhXLeQkPP3SWgWT2zZ78Dr+Y+tMgDAx/1R66gAd/dGIo2QMkAWovQoev3KXHrxXqv2t4uODKUMR HvnudwAs+PDwTfGdZ+Vb3C56WzPHaqLjGe0u/LNerQTtsUoDFbA0em/Bq6SuOWTkY4qFXOCQJoNM 1qpQdh6qUPsVEFVBfSo1SODQPJUY7H6o+DtqjmVoYIpZS8cJ6uSmjjXLun/ywIv1ikHKsQN4Fr8W A9wkt8TJUCuwX+v4VEppW5gtLoa1g8qhPtG/GnMv/xYKiZXX3GhIQfG40UX1etknO+ktiBhGohyn YW1+kJ8m4Q5uQpR4HpIcn2vfwJvpIuMcJws/ruw3CBklBhTJd0IpLHnxb5RTqY/ITzB6tYS+TokA nOBs+XarpWvY7OxY58kaS0zdknkdYg3xWOkKQRKzBO7WDCOqGnWsgjL5+E61+yqu5s6tHB8gJ4u2 lETeYQPMfDE87uj+ggA/lP9OF00GHWL0ZbIjcV5jFwqBeRk38Wa5u0eSpCZIuMQkkk7KNN/EdwJi Osmm2hO4tll8Bw7+3xZD2gBvpZWm5HKANMSRk1jwUpKX+JIjgnwwvCAZPtsHG6CyhlUcT+KBUg/n iH+YNd2LNTRcGOjPb8Y7DGYJNWrM4dWXF4L/5QkzmN3il206flluYpYZQpzeomiQpIzn+ouvoEPG /BmGhKO9z16OWTueQ8ip6qz+m4qySsp4VW3Xnp0NWQgLl9JvC+5ZVIgPD3y4IaiwKvfVVFT7ygG3 /Nh4vtZsTOyS6ioriNp3QxjMG9/W9HvAk0AR4fw8rNvdl+Sedj/FhuB0yMRQW7EYTZ0A6Z7VOgPn JkYvVkRrYXkOn4c2v7fDpWewVWz2+5/jvltOjJGGFfZF12aN4aosLNt1qrKNgNMjh8Qm77zZdye+ D1g/lq6dD8zwj4uO5P7VI0o1HF1Kxp7DwjkOzDzOiQf203EYl6S5lCArW4bSFybZodMDv43QGDGd J3QEjnxtba89/LuW6K+9iKldKJJCTvKhgaDBIaSkTqdkkqz86NkD4CasAp26CarAqnAn+ibO3h7r sPeFGEEw/1iVjzq9w+IrudVHj+KCEUGRuE9cYFYMm0zdnoA77aiszyTGx7C2hl1GDdhctMasT9uC 5wfCvpsYhYz1INcUewbiBZarG3iVdQLPwx3uBj6NCezi40qNgVHAaIL0hvXJP9dJV9W9o6mem38x gKG/Gvr5nn+e3OzLznvrVkzeKGR4eKDeneeHQdySVVTEAbOhpvDkdra2sKHUL7q11TlgYwgHB8tp 4NN9lW/ujCY4sGwF/dApG7zGRbWKMSMptzZswBZInAdagg1hFeLOCQ4i5eCUm68Qw6VwHWroNF5M u4J9mR6SvKL5YrmfBjYked6pnAiOcSCfoeVRwd4zoxgoDFLCsFSHUxA0CoJocpK+GQv6aTrowcTX QEr8rHuKSEHBWzMtKfQVw0G1z0A2q38MnqTBk+IjLdk28LJvPnKRVsJzqamC8xLkixuw4NKX6Tag 6Oo4ouO9pqoyPOAWONlspAO4hE4qNOnnXRvkFGjCKxTHOqZALtcqWfSmtmDnoSPg+7qNLMWUX6ka tKh3d4hqQCfpB0APWhndwM1X02xmkWfgQaeeS2SQlsH23WZ9UEXPwj2W9BsvvUj3to/U+bvcSCvF ax8zcPh5ZxAQrVjn+/LwrHSASCWXLXsHfijFarm0Io/BPhwlKuSf7MmX6JXmR/Ee+Tm9MHeUovjU sZrMe1Fagn6dYU2vX6axukfo/8cLZsANCBq+8DHb9em9n0Iw5o1PjRqwnLrGT8XqFmIJS8trAoIj ZvgFL4vDxcM7YwvYhZF9F3AQAM6uQ56FPSlr5tM1cbuJhJxOu52yIVJyh/ToNQI+pjQd7vWZ8qMy O44ojwAuB+dGd6jNtuGoxBEgLBnTFThdwMDZ9EydLbj6LjshSTWNaxiB27cLhVROKAtLZ/tKkXdS IBQd/anIohZMrp3ED+defi8mnxn1hRsq76U7/j9WvoCwuoqlqhSdDuTh9FjGofVNI6LTteNEXgJs JCbcOdqrnDcBDFtBOgITojlsnlysuADX6vjdyt+XKLoOhjWKH6BiLasWWmS/Jz+tOQJhbQmS4/cM dzxhjTkSET8MWPLV5Z+aFtadoKq9rpXUgLWModDo3xj4tIf3b2VuXhBM0D5ryr7CqQuIalwrgWED gMOWx/XcXgYdlkGllo/FNpNm1gOxPMc+uBrpl11WZFoQ16fHD4pQ3Y6J6GClhnIZjLX8hAqceGlT nJbzKYA0mh9vBV5Cucz9m51Tz4Li3r210mpL+ew+5AgDVOF7jL6j1K7FtAWdBx/1C/ATPskR9l4T 0tMh2B/lMcRM7saCiYrC4FN+XSnj20v3i3rFIWdA13tBC0l+dZjeYxx7UKXmXMU0J25WGcU+rEOZ /ZIyklEklpTtNvI4yx9T/u8RUdVw9LF1Mnpm+4DuaSQkhD+SWiKfZAJslZ5jD3M3OjF52hx01Waz BnSpYhQ7g8/AYulR/XJViw88gqvT3ap667aL7HLm8dnM8+/PlIsLpIo3eX2OT2LZJtjUdDztc0RV nNVsyMr0R7ROWBRNHRvQ8HXOkKsHWK4qmgPpjGWXwtveLpj6qr4TujgHCNsHIu0FhHazsgdWc3dl wwHj3TkNPq/JbIISZ81kcDvB06FpbT1wZRnGO2CnFu7h+0hZKRIePsu6tGt4w0B4BYqRLi6PJHWe /1/QD6s3a8c0oE7Q6Rg0ojtfwqN2Z3CZ0qc+aY77WykmOqYK0ep+4JuupQ0C07hgudZkgUeePVbM miD78qw4fO4pBI+0XEusxmONmLo8sI+QLRgV0tRE4gdlWNlGkoMRIR9WqJwb39XfkTz2RyhxUY80 IbXxgaX9otwR24A416TAhjwtlzdqmkiH11ywcoVr9l811UZG5lGLXXokpfvvwjBrLO98EOTGnZea xK5pcPr8DCd0gYVmETL6t18yUhFxl4Ovddf3AGCXTKQMRU2aYj44uVVDLGWm0cY62mc0y08GAm7Y HtQtBNeIRIoz4NqXllo0MYGPVUg3FjxxFqpcqc4TYA8lL131p0kO/liKVqLgAojzUpOCMlPa1OZL eV6xULcxrENOB1KsHl+AhuTTkhpWkrasHMGC9MyB5sDOkTYqAIYGDPoJqz8NeNrMygvYkX/9QHJj jEZ1EQJYTJgHwwDpolYH1yp39jPv9K5/UXRV+HHlO+ttB8hE9mVE0u98sBz7iQxfnz4na1v86Sad +AK8gH9g4lKgg4OuJx/9CXkd00NkGQ4MwOedRavlDLkURKTE2ovWbIF+M62tvtUcYHDZKvdMzzjo jmIpTaIYQK/e0KhbruVymjWp74Dv7ygCX3VoY77gvw4VPvGkgt4WTjaru72VDfoCBkn1lpu0AU38 vfbgE/hp3mvdZOJ64JEcFhH9NNGBbJL7A6+ycGXKowzk5fIjgPvsR7xjI2cheEoNCtNGC20VA1MA 8uyfn12ygiRxR60Dk46F+I9HG5R5mXodiN0Y06QITSRy0effRoLAbfEa8L6tBdCMssV5KooGAGSI 9nKRdC0AkLPzPG2gfz7nYavrIFxfDAjmDOn6V260gN7yP9V0GSamo2fpFEeCUygRaSfRpqg1qaN+ QVn2VQ/4iM6Q6U3CEze79gVVXi7uT8h0Ckzrzd5CyDXoF96g+GNP5Jytu4Mu98aVd1zwEDKluY3Q 56Jol7SKrXwg3Z7UsJIn43jm7MnakHSKxu2mz7Idv1dagjDO3Tncd2tgy4xK3+oh9Wa6WtbSy3rZ t4HeLtm+8bGBpGbyxSPNo9/LQ0hSn4H4NQWXNSCPWHFm+PgyHFGcUhQH3eTYUGkvqu9rAkweA5Yd JOduZC2w20Bo+DJb2e5BrL/CgNqn5frpFvXKxVYkFbuDbik/hAckNN8AnuJofAmNsmFpp175MB4n Zelfmt5Fmkq8toFeGlIRZHPbxNFBkY9i6YChZEmQAkohPzjcLzPuj23N14fgu2Aj9kg5SWp8lJ9F dIdt0m0fVdq7iVgWQ12EVMfn+0l2w0JSIBSjNojUx8rBj7CP7qX4jGW04ZDoER6dUgOecmEY2mX6 5yHjRyceT6HdXcU8xEfIkB/vUIZTh+EZIAqhIJjN/bvjGsppBSj9h5EfNWWZG1FaCXM5iRTmYGm0 rWALq4h9VFiv6T2Xn+pjC1fkF5zhfngv2bHibRiHmg1gX0Dnes1kIbDthBf4OchXI1TA/FpKvvFc XvhXaCV4pFTv/tVJ+GbYdONWEcBoN4sqlqaVaHn68SjGuF0JjCS1lusySQgTaqxlLza3MmWKppmR BUJxbugdmcKJvPDvPFDVy2P1cxEiE5EFhRwEBK9DfIjKAe7dGw2GID8NStCB808ihqmKPP1PQXiX jGzatCqgKalxBStKCrd430PWpHMijOUWINy6/h4wMQ07u5Y38s75+cvveGKPesb0e/olAodyB+Uh Ji7wZOSVFU9KjwTXXjRI3HLJ7MJYgTuw0cOTqBWkW+M9dWKymHFDfsSI3pRqlff3lAXHR4dAd8I9 6PxDmkoUz7lkrw/sZcbqSrtiDddgmeqLXCziFPNnwkmLQt4nXBUBJyp0ziJC7Y+Z6yXHlsP8jp2C fNmyK9TWMTIb+WmZfExZB1iewRe6dgW1QaXHdsrZqnfC4Utfxih3/L8gUv5rzE+zqkWq9Pb3K5qT cy14DgvLgaHM415e1YHm9NnCoaAVoHowJq3UJzA8xd5LLwieIh78b4SYRLYUbSXKxzhjKfw3GXqG 8BIb6kAA9v7wRBuSSqfqj7RoEXfLNM49FgNOG+sQDjMo/OrPLAx0rmZEZBI68DYigZgcijxf+MSy 8tc71Jr+uje4bqBTv+DVV5lHYioWQbOCKJUchDNrwieIdWzpSGySDBPhbScImq7NlEyNN70owBrN ybTlj9nSBlJEdvD+j4u+fy/xRYRCpaYlvg/Xiz2jL2R/QL4ToTxYDi9oWzfGvU6OM5lSdV0b346D MgF2Po7GOslC5UcAyh6zn/yadgeMB/wpCGMmts+eXsPhBgsPehO7BXXvzU5SSEgaARRQhvQ8cNbl GE357eMNYchggyon35h0d+Al5Rh6pILXKBg6ZkuEOu603bYVJjbJ0awVUtAg6SpbwZbEY8Ut00Fs W5QuPvWIU4dyDx7U4nfFTXjwmvk7oImuRltc/crB+9ONxAZFw9moEMcgWCF/GdPLjAWnx014WU7Z icivTOxSwuByIUcab69C9dIG74usD1cUeALnVbAJwiq9/BpBvdas0WGGd+FrIC2SVzMgPKppKu6k juN6pF0PF6K+Kf/AqskB5xHV1fUFS9mP4tSn1jcF3PkxkpF2xvSzoT58hmG4Nc2qHyM17+XVRawM CJK/pff+vJHwkkbXlRwOwIzl+Be1KVNGf33e0WJ8CtbjdY/LTAoR4gOc6G7+4vdAUK88vclgYPj0 YPrm7c/6Dkmwin53XAPQ+gMvpnmytcZXhZE7fgArjCHqo3dY11hNHIXuBDDeAnbHwjNQi9FOSZAk BcNFVTZU4BUf3vo60aJxRXxG9PFZB8ASaDYsSA3bsR04IokfRDomXC62IRCQ4VjubpIbNQydt5uz tg4onKNldYpEyU5aFGZrd0HP4+TX3dR2oLihf9aj/3ZGYp2yQ9ThGkT07fafKMAaJcDRrfvNpBvq t23uhGUOVFhE9pkaRSjEfyC7Yw2QpJ5zbDFLGz5FRcS6OobBbBqLObtsVUe6qGgwVAaCLXVhF2YT QytNY6Wr+bx36rK0SjeFeQITdCgGiFmPt3bMdcW04FKlQaRB2Ss90xs86TwO1BrqlGc0PsZLWDH4 lb2ZDuSUCsOOu+KbDO5N411gtFQ1lrFYI39Yt0jC/QICT7GJiXNkrHFBDIM4j7EN1pU2+afvQEzH DYUFHeqWoYtZAsigimiVjHKL+Hb6b6jLlTw4R538mk9Ynzn39cTRqyldqP/8BUuD26M9OUH4Gl1I C64WmiMt1ULmSuE3uIjPl2MGuEeFmjU1J8xyPOIMKc+54hqGNvg9TdNtJYxS/HFNlflmUWA5nlV/ vzQJfcRiu0WQ4Z+5PidyYBb5TlVLXv4f0TOhxltj3+EMml9CefENQ3bTvgpgXHBw37pAHMD/4swY HMStmMVw2hEVXDz9LFh/9fZ3gry37W1V3nruG56yKxkAT++AJBa3dW+4My9NWG2etCCIbWL8LdeK JWInD5sNJ3SELB4xIcZ4bHccUNqL5kIZiWboL5XE0/GLJ7HpgOxwJj2Ea4sdHnFkwURelN+z3rx4 +UsHFSG0jI7cIRFFJG0yiS1KqxbhNVg5qZ6/sXzZMAzGw83PJT0Q8cDDa4Mb04/XtZw9GFt4vu94 xiQnB2Pvz9/5DdojcBAsy6whH5t0h+/wgp8FjCGgS6lo+q/Kl8Dpjf30iILBPCD/71oPigC7fElf S9fRGnkD1kwbvtsx36OnleitG2lRVpv4K4n57BrkczCj5btFkC7iILi+pgZekhrrCd8nB8HFZ4BT QaqifmoE9R9EoBGZ6X8sA4xjIHZABjr0Z6jTHoqL0h6xDu616gZ5Qsy9HcgToqa7EGa8tkAitlCM GrArVLJDi4AvE9Kdm2ymxAS0hoiOaqtxo9R+a1SpEkQmQVvbbse50ijzdJ2gaugD7bdW2+cOw1Ba pl+PfF6SxuVRlZ8agvkmioxxlM8nSYI/44Nsm6Pj9M4hi8te0Xdn253B/mUnfS4AqTO5szCxF6nK WggGU89CLV47kbB8HZYeB0PJSAA7SvUQmJtqiSeRFpwOMHhMLN68jNHojTQrAZkXlpFXCbNoZHvF gCFhOFkg+cog4P0yl5RF1fd06MvlJW0QZIDWsYgiaQVDNttinCO0/HhX9EgTvlY2RtC7D6ccdVFN TUlNGbthCFoYNunx1jjBNL7sxeJHqn44o0Na/ifS+wweaF76otiMQihkNxHdntYyhPUspe5rtx7G LnT3uS4h0DePUCVIKYJ8vqK8a5CBLLDnJaisXl6eJOCUC+fIZovsT31W1LHWl7Od1Zod2k04AZqb ThKpqgsvRzGcybcv9K+BGRfGfKr8e/ccoxYIfNPxP3INoDyGU8jEqJZyadZk9ZFw6GgBlxIAVXv+ mWgubFfKtlheP0ynOjibWtBhghIMJ4B0zeKQRduM91v5X0iCGfvbB8azmQu7KbhMfD22pu7SBSmF XfyLei9YLOdOy78CYZ+83jzP2+FKdRZlZLWq09Ho7P2Un8pBJ+G4zJVrFwLot5z9/LDjORtZhxVX B43HSEsqm1I0rR1VLfEt9VX1Izz163ObnYf/3FTlTDJ4kcwWirLaUonf2TtD6ImKigNu9011TUvi Wz0Xy7hll1t+pYBLaiXwy6Nlcqs2ddRPSd/pM/v2DWbpF6PNKRiec91FsczrtIgYdOkPDky8Kf/j QR0yXH35RKi3JFKJ4BWed3FUbG56ltZd3WXAh8IPPYBEQq8kx3XXkGvFYAmYBxjNjJRrJYWBUkPP iBQelvuCqMY0t4GL0Z18kMpbXjiR83f0y4FvEb/DjCD9dZBlomH0vNZfHBgmF7ZQ87hrPaY3PPuW 4jPJBoZU2xoXErX61+yv9ZVet7KlVHlc1L2ZvLKer2QAyCW4hFQjreiy5+c1jha74CugBB6ZNFy/ sJk/SyvjUNPB6pryJI1BVqEU9gMGicjmOXqaU16VptVjsXA1zXMQXbrv1Dhz60eqkxTDp0HTNibm nP2yRqVhOJSvPQABw9XDhWNwDGasCPtJ5qFQbbttIuaIkVNDEFiRx6XAH9BWcwdR5Em1P0UERgis uH+FX28lFMfimjH2kKPKEn/TO5GRyy1wEtYCvmQDq0DifjiGWXiUYU6TW6PDG7AAaehCkPi1j1nG A4nHKmsJb5hABsqjFLBIx/puvvf7lPkGA1kbTN777hJv7KFzO/hjco5eCx6oXdzkrJNz8tbn9gEJ t1O3jiDH89nRaeh6YPz4+0Ixim7Lq6n/ZsCCTpn0NnGn3GMJw51KL2jbjloxli4zulS/9r0I7ehj W6/IQmn1xaSVTkWiHn3neLO6WGcmnQfuQmQud2mtv7UcMT8aXELyC9mxde6m3LMm56AHtmj9gWQ/ Tmh5W/aDeoF/cQl/1MiXHqNy8tz8V2mbFnfMrA0pJTkzL//ezxvuPCSXreqfhLwEL5fIHs9xx71u O3pQiY6ei2avQqYt4W7CaN7x8FeiNDVLPVM023GtT9TJGtciPFv9s2zRET2kdaB4oiSAilE1iPZk Bpbm57DlqVjPfT2P+yiVENu8NnBTvBKNue7jJzxC7AB22aUssghwa2R02tOoUobpY6njyfq8R/0b AP9R1YKjlM1nj/0lvveuMnuWuwzmxPVE/T3x+Ib+JMkL+CiUcYUvy3JYn7Gv2Fw4gQ+SgKXGYlGA UpbaQAWEZfgWyEjsIdzX/tvsQWJNR5dcjxYTOYNm7TRHhShhOOimhIIvZZKLuPQhfYct26voURNy jko6VKAmPvY/Q1yqoccZN1V5uG7ssWg6vFq9JdhNnLfrtt0sj9GVL0AKk+NBLDiMbcmCby+GyNpK v+m8C1TP7N33VpjZ0P4LkPCNMazBEnfL0Zowq+ELdv8aLyvTCVSrIWvWScsELJXoxl8fb4n8ENJp wLuFp03nJlbSmSCIAg1Z6YB2tEXJ4HzeyKb7ftCVAUR49GX0IFwraqJRq2z8+q4/owFkWdJdCGsK x6Pt51w5/PvsOXMDM9ixeiSP1cLBXmCDMaF4TbybzTC+LgLfGpRe0nvv4RnZxuj05eVmugGki/Hp +e/v1er8yBiNwm+1HbSlPiWuccgIJHcofYWGhTcGapz2gGFYQrRUMI+FpT68kJo+KON+Bl6/Xlg9 i7nX2e+RUQDyjonNCNVTrkYzhLtAf2Ql2diPIr4ngxH5Hpm/PsvwFVRfiG31UJI4Y0HlXDWYvsYo URIOwnBjYKJYJUil6FhdrgrOux+/VtyIxqFP1AMEwDgmU3JAeXLvpdPPXqYio0QKDFgI4VKJqzlu WtOyeSy4bGwhl5vmQI7yb1u5fgPCWBkxiphqefBvmtC9OWSYHGxp4Y+riPFXaZQsYYS9Spwt9n3L EewVPR8yXCF/C/fyCBr0ltGOdVVck4CUqCLvVeZdJ8BkyVj97LmRrN6ggZHCq+GfWdsPP74Lh/l2 tut0/9c1zWxM8vmN/b6r50n6s26V0GzCn6m6zTyDyTU/r5Krd/k3WyugyNcEbuxTbYXIfAtCLrf3 ol7/npwgI5B3HB6++yy0pWU80q3heoJXlph+0/MRIKDuMQsbz4GYjJWxJjyta6PZDNaoWklmmS1d yoeJDnAnIAwy/gpAjohHi89KaMUqbeyWn/OBw6UsW+MMBcsQPvs49elJJbhY18RLgyjf48BBIEuz AoGrq3nztCSoOaihITGrnbzrdRoKZCEyGMprPIzTBsM7hAtUacovkBcGNr8tca59HiHQuFSLZ06Q SyDNpoHwZKUV2vYXYc4Y9VQOytdi1Fb5INAsp+bl3Gy4TyHNHqacul2F9UQpehPlApoRWyIRpGQs 9AseY9Ga7qGW8pBKO9ULNkNgN4VFK1/xjBUdQaN4rj7vGzez97uhI4msZb6RYFFT77oQNcw4dIAn VwkLkeJ7mnl7KQALfUttlRNOA2U0bYnKWfTR0p30QSKDYbfZStfrXnR3J/WeefB8hFrqHL9/g60X dabrSfLePR0W06aAFj3uV84WOdsaK8hPteCrWnFpjz/uN8CW3sFRx2RWoJZqulzqSFFgct+7DeC/ CMvbu8QYpyG1cOpKnLZg/7cMOfFiktsrs3LT/4bRqyNBKAbBO4W6uCE+csOV9DgWlHMjLctKcnK9 Q702m8ScedpIvNUTtCZ128SzPcvRBc4yl3SZ09IGSZD7OT4UQFVm13taBcG6tUhkHegJaXS2BJpH B0QU92LhbHXeW5P4zYcnjY9QME/QXvZzVlaglTNpR9XDzNFzNOZfE5YZxY/PkxMU+jOOmMbPkF+t WtmO+xUxNVXkUbK73RVN672lesE7ayoqY5V4BZ8i5jnSkJALl85A3UgdaLhfGrT8VxiUf0c3VNSm kVoKI8VIpVQ4uU8tkVn19TC41yw12TUBT2Se9SseTuGAI2xb3ams6xy3+xKRTAHymxfALrdjGzTA Q2M620J2iPgVnGtBuNbih2jjJJOWCoRGmjKmgJEshmQzbRLP1nHE0Vs7riu8+vaIf21rprcZ1e7T hZQDM0Hc2N2ErLrlE3/id9VVToH/5A677q50EaTVu42vcVA4e9/MxozA0voUrlXUN+ItpFRY+8GP UbS/czDv2TA1uE2N0mfsTS6YsfUEqn/k2mC6SegcTN5M+IJuj4Req64A7KfCQOGxyzLN2hp+j6+N wO3ZdaoErF0VCwP5i2CcHdqrcYNWa5a4JkCBhRSdoBFRXBme1aaPYZjQ7hBJZ82yWkbNFEQsyqYM 8rkF6URca5Iqfl0Xj4/P5+tPYzDgyKQYago69SV25BSabUaStTeN0n3JrZCys8kIanaaGZ/YXInO I3QoIwsmXTKbLc4ZikQCpnKWwhbxQHj5uE0hxmeChHvvNaWBB0fNMo2HxEqvFczUZTJKzw+nCx3t uCTvhYz48TD56tUtv3Pw3nIxpYb+JduOCMTtnM58UsUnuUeOxwYXKV+Ij1jhxnoeW8yT84fhRsu2 omq2Df5bZl5tCZzrhrscHw5Zt3DpRUNBePu9OIZGF0fQEHuoZt64XFhLIlLRLH39wBwlf2poCZFS 2Oo8VkZAx2r6jLol4lUVVepv9Ch0PxSWm/7HthDP3PFzZJQT0Z3aqzfvdvp72NHNtRka0CICczfF vXZ7NUy9cU0v70hh3RtDf91UWop2O0mP6JjtM6+/BJizOkCOSK2djkgOnqTlzgeWAMpolpT88ynD UWBWcXPFbzC2B6qG5/kPctjFG5XgOBuGcL8M0VRUcYRFZ7+WhBgTAaM4VoyJ8LhTanCH9TCv5NEk brFbp5Pya1QBdkwUy97/hthewGSIkB+ztniggs6znBxdKau0cnXNCs4X/xuIhGMkdwb1NXSB+0Az F3y6pSh8JmDWhwlUNNaXwBEthFmA7JRmADr9Rxq95KJkrshJZtJv6fNVsEy67YhX4CeAVkpCG03/ r+mClTX0Dt3gzPWbhPGOTJ65oLuitZQXoGSbhEI50Pv/+tfB7iSDlcU1dlA7liwzeMbiHrBkqzcB pd55Im76MiiA0vNgk8NTJWY6PY/lWH8zbWHlWFKa6CnWH+9Pm1nZEYMErJlBknUEmIg3zEbNMS3h UWjCIxi5BifJzA1iZUQO6gWhyWeakq9sJmgxFdwxcCW4vRTBqntvHPiAMdQl6HlnKko5NBvZuUcu N6rpMPdsn6B8cvWODCDp1EnOjiFRGR5doTq0RsXKF1141t1KhuW9nlKgJ9udboTWgbw2mESsbOdm hKgH55vOXcwxShrZqOcxTxNJDlHGTFlKIb0GVuV6MG79zz3b35cCwBYxxuNvLIdjCyH0jBJP0iZk 2Jh6Rhv+uOT5TCvrcJ2tZU1U3k52ZymwQpnwqIh4n5xiNR3YD7xKRvQHQ594tP7VTum4w5n6qwUe 3p4nIsmPEhXTFl9v7j6oMGytUxLIS2VnfXZSlHEf/+5bE1GaJbozo6XYKnRn4NK3PXXSgJRp/LS0 xphrT9JO1Mni4NtRC7kH8pkABEC0syULL4zq7Id/fotcw/rRz/7y7vlNf6jvzqahRHdDo2vP4EdR XBwRWixBiziZT6Ny4biWvIlBTMwPkpqMzxC3gT29/LRtswSDyE87XhSYixfQBO0PTUB24YcTEdCt PngEjm1emege7WebavvB7i3mAcjEqoNMORIv/QYICqsMpstRqXRMmMAgad/g0Ouv2f+I43LSOMzl UbvyMY67RU43d3K4DDTPb2M3a5yuhO0vnr2v1PaRk3YwKSwKZsbdRhu5/UBTRPpi7XtCNujttK6h hxXMa8Fjamm5p3Ju8BpqGAv2AONvl/VhfynrRohKHubeH/rkj/dv2MymBs7O5T9skePZdeHRrxeS qH7MbqVCmMxy1hGJzbjTFduvOdZ2tvEfRw1FxL7TZ2nPkwA3QnkxkV82ls6fQXzdyWBXrTdC32AA wItQvT+FxDyK+FlgshjTs9FiAGnaIkrjHQNsyVMQlnmPIcq7s8I+sueISr/W+CkHSoQQMKLnAInJ k+shJFSOsB/maJ5MfDY4CQ8cLtehAl/OrpQ1VanLtl9uUTBq6czSZ93SuI1EZlKzKz4Nz8MW2LDa NFF1XS2Z35LN6LzSwhRt3vhlkw2ePSXVEKAmUzsj6ygqh4mMwJafWZlQlfLRNvOSI3Q3fIXchuE4 L0tyb666V4x+cm1wThil1uXgpS7zR1ZXfd75ckJs2l5BIKkfTmhe7UamQWZtMqJ33SkmF/SG90ym j7LQFratp4aW6RsBwRz3g7VJoQZt7h6Jbt9sqBmnFs9M6iTAf34CU3O5mDGWlJNzJI4Tj0tUDgVw PGGwy0NH7N3uhqGbtb4U9OyYicsg9hhjLwvhK48uOXkWVYV0owJ0/kmJcE8Shv2H4q63H+PI0v1v GFcRUHPrKm6n5ISht83+A9rh/PT13LZH0KQFmQzO51N96Q4RxKQQsgCdfnJAXxzFu8+7asmFRaRn U7hXYG+ZopwUjbhMNqPS6RKSC87K9fYPF3qeAKaXpDONdibAPe/sXz0sBUNZlUZs+gPgjjOz/IHE 9H170SuQwlwTeRMMRzOUD3ESb/pD8cBJn2jt6vVZ7s9TBG4D6H2dGYFrHqIrb6grzOzAk6AVEUoY dUIueXKAIIM8iHdS7AKThUjUoFDw0Ezt1snQ/TDrGanVnOzrWamcUovDguugRn4YSxOJ3D234vA0 3J+Zy+m2jphPIm67hKZKi7U6PsPaAH46Sb7IhJgEuJbkU2cJzYHyK/tUqncVuzAH612lSfvejz6P 0AtZiMR+xjHtsvihKyYWra3Ixn2gGCqRJCM8BH1g6kCRrNQIgHG4YZ3raNzSy9lTPhfGRZILySYG rlIQfLPjcZSoPrVXF/bhCpeLWkfOZouEIHg6cM+ZY4YvjzOTl9mdJfZHMtGK/VJun1D7K8jNb/sl zd4R9/xLm/8/oTHXcFfbCSierP7qG2hKqS6/bFRvR1xqMEuB8vHhhZ7gVdQfyO5pn4qWFqnoRsGZ dH6dy3ierbu/ijuCG8RlI8o51UKzZr6r2DRA/VXI0FhlZIVzb3uIJkBcwgA2V+qBh62uIesmrVtl izvgRmyNBsl/IthiPGaTHjmt0LhxFGPBlpVGlJg0MFKZCST1UnqUGRVicNUx0eE82zQkqIjAtD0L yBE2TRKlpM0TzWSwkzvklHOJyuwWwyFJaBKsFNKk437cqRIAz/rsBZaiz862Jmqd+RZ6a5JizcBC KjHIht/SbrxK4aJbpS13spswEcVAoDv6Tz7O5tCyAOpwwtDQilTdFONI0lPPMLh1KhInwU62WiEz n7YoNlT8xl77ir7nnHoQM6fa9sPrLg0DqWcm1YSGiULWkcQEdDvJIxwK5jj2MdmLEIHDi6BejocP cjQqvLTJSTq2BxwdyYTTM3pXwSS+apaZg4f7YURCRygfzxVpC3F6/o5IW4Dhnp13K153rz5VmlOk NIW5V2xlcePXUY6w3fJTdJrMeKuRHyp4mHqGdUz8MwQ94wKvHRwDkqDrKYgZX6ZwRJ572TOIxmKi hGndX4Sm6iEW0jNO692TD2t//hYWStqcMR4MoKFiJB4573yBoLxUUe0I2y+SkyFrDmkrIK+F2oSl Mk8ZNIiKd8I/RGxf4Dllbu4XfsCOQetZDuAPyYJlBQiCHVufx7N6fgzKnOFjd4K0vdAz9KlV4Izd 7ipfH1Jg0QwYHgfJihsURckUwmbZ5UkmM2iB0rKboZqc//dOam2ssXijnQzUOiPh2o8zPbgLbsRJ vmf98+XmZVNdUxwdyjmTCw6JqU//qZRe/9FGUWMW6vGv0jvRRTzBC89+crUrkjKrtQu8uD1vXptS sW1fEkFkdMdUAlSr8aJCX4953N3aFmEVPABmdSgQHbGKq7l8YyUQ4H0stT4YatAhqbXzgOfb/F2X 3Cw2UWjmYlc0omVMz77R4MFgikdtJK2hlOCpSKeiusb7X1W9C9gWf8qq74sFWyunwi54Ao1F2uDS PGAVXpSCGcdGeITr0PZBexwfE/8BKKaS6OkWb3mVjuCe7GQKxOUnh6ZCZwrD8yVWNUpp6U8IW6Ak elVtrFTH4aDV0Wb1Kf1LuXdb3fWJz98D4eskGjjn0tugNyArZm17qJL+0rq83Kgkvh49s7C4KkFc Rm7HbldkkoIOWJFEx/C8miFwGZ3Y+97nOHYkKetNgftZxmP4PULtdAd5Nx/dR1RBYgshKjYt8uPC EVHkN/jXrB0Zm29OrS8Z0+Y4VUh2owO+8oA3iN/LErF3wanTMaMV+7oJMw7Z/ciekUX2GXTh/PIM 4W8e+UUnYfydsVkCrqqJCXaR5UQ/S60VDvZqMMMBSZg5qeI+ggXrrPqGsMgKdIhJc0nps8DRY/iZ axHnqM3NbgqwyH6rWvRlpNw2jasX8igpE5c1pc8p6eJFacdKs7JWbFWOW1H36OStIuc92m3HRp22 RCJJxsZ7kHTo0qzApbhMnJtYzWPEKBB6qonLKWw+CmA4+ZmvcPfUtPyBfbgi3E1zMLyP+KnZdMLL FO9YmjwUENChxVKvOAMfn+4Pj1UMJyyLSxijX+63pH0zzSHjn+1pYQ678txCR+syxCG4ZMksVEy8 Nb+dXOgA4oq88VMe3FZUrq1nerD+n/v6f0CXLjnYZf+MUoZXPQsocyWppdOoRPKJ7znr24YQfzgN d4sl34MEj0WrlWoig3B+wLl7rT9fIVBXJDcf5LCggQ9wRgANRE955RYV5LfySuwp83LTSyTb8Pbt oD5oVRGFIIueZw+9dA4AybSxvMoxIc5t92E8JV68eDxs/umOamiVWfKfWJuHWeVe5GHGYWktY4WG k9QFkz7ztCiGFZtlWslIiDXB1jPaYoTU5sm6AsKDV7rkmgg+R5yiaxUjWrHKZaEsFMK/1RKTm0F1 xB8xZke7SWCBUCLfsERBa2omeD4HQE1meYHOMte6i/fkKZ/nwBxzJGEV3Cbx25Ghq5ampiep2p2M 8HkiSa52GIrrrDiU5nr23n8bMrK6FQplXxvRG9cg3KwesmAF+HWsu4wLcNLunB8rDYFT674VQO5K Of81YIZy9iR8iIlTvGKnkJlgQiAy4rJzYHsHW91OMRZdRaRFtvxWCDtaJab/k0DWRzNewQ6raTZ3 PZOdzDG9qK2MhIUEk4QFyk+x5igM1WTw/JUivY8rrXraaL/XRwkFWLZ3cphX6DHJj3nPeDzEHscY 8t2gowsfprqAVTlu0rcxRlS9AWCb+PW6ldxv0o3sQCil/dEFXzKLY7XDaf6cSu8ZDaBSfpJlC8H6 Az+tNaWcMOc1kqL6sNR24ugKIPpFZny8B+xw1H88jX5CshtgQshq8hUMnMYNW2Lg89EM6Xlluaq4 r3z34WCY6TPRwX8MBaYH9PX09BVjiYQiNDY6+RjXpFVpzhGjQG5oc6Zg0MsQXr6CfrIleuTq7Qdv c9ezILp558lMk2lyOtIlly5GJ8irZsakaSaQNA+24tgQE/bOlFCU9R2hg1vwYAPnKXwSZC3hHsha W5JCqfNQGiWZJKIngdRjdZYnsUJGdWxp08fKsFhr9CMT7ZGNWeIDbLWxBPVkMUNFGHs4gdtSDc33 ry4TC2OzULxIY0lUmYW3+nnRb0FmAbZNwsolZlQHk4N9Y4hFJmeC5aZDptMUMl3Kqv0TNd1Op5YK fI/YCHNEXmFNCrOOJPPhZO2/Ep1/1RpUVuC94P6bredeKb5uS3GL5qDhjfOoxJPcHaT7nZPP/x81 FvG9q0WdQRtz5pIyNOgQ7f2KIV96Dk7O1UyC0usSiTG8zSSYXyfBsYL1zmfuJuCQXMLWgUUys2bh Y47rbxSoitGbmI0Ca7BYolxN2VEpaD8JTB95tcll9+IqQXRBiJXbIOdSVbI4cWwfyvFkoTsU6NiR 9sY30Fv3c2qB47BaK62jEUy2E7MyGs+yYWyLl2Lk2TgQTCJCju3m16E7Rs6YYS5NjvS5tS7+yyMW UdXla6/wgIh8gvDj94fMCA05kgddOG98vEGO/Rq4LObpYt/wbsz9Itw/RFs9chB47t0pr2fcdrp+ gAhttcxMprmC/wlLGzitPP0ew3WjBJGeDVhaoY5T63n7bMO2sxsuP2uCmQcHzM2WomvQrY/w52MI /+cyo/vqlnp9jNpRywkFqyGWjssGKuR4my4myhk1sa/x4HW6y67/Q6n4wzyMKcbrGXOJd9j1LGzN sswZ9qCJmzt2fejcdMkLZSjtM+0fRNCjnSQlIWSDlymvNHuzMX9Z3krVLo9FnCCu5ny9krYO5ukN 27tX9KdGce9G1v86NpUKg9HPmEBsLzxQZYvjBhNoTg65eGo6yJ+iq9pNh16TTlr3x7UNOBV5SKcu 92b61k6e4g2DWc9urZv2CB+BxdWlZAMwab8yiRWW9H9XT+fIU/1vyqzhwZ/429toOb3HxUgnkD5Z 21BXQ4yAvw0t9+i87/8osHYkUaqc+RMbBnCdDuRaWfkBeXLla1NXl48CpZ9wnskFPGcU5xzp0qKX uyEZjJ2GT5sSva5IxamVzlA8fVNzWK1dBGlVWK7ee+MzBae/1zkP05CaaEGA/jjrfGk2TN7zoYlb fGvbPBT28ExRVyrrIBUS4Xbj/pwXicIATBqv8rfS2YKi+bW2HnDuRwtFJVaYL8eGaxvI3S/NvOC/ qFuTMI617Mt9kFuT1PTEkZfs/22e6o2C/Bkz3iFjTCnJOU6aOaPYPOiRbO0Vf68D3FSa/jA4nkZR 8cRykVhTDQlqqTySxHtU5MZ6M7F7BexDtfIk5y38g3r6MOM/LYz5cWvxwYlP/lBfkARFaVRO8cGh jDb9+MK+hCKqzaoTOZCZHJwwtv5qtBxk18FJU9PZ7EXh5hHhDWPREMIsNust53INVnrfthSdAQ0l mZLL33bccFzmMlm+Ns1Dkt9sjy1BBmKL/UaAFitwZFeAq6BzixG1JNzqaeM5FH7+rkcwwLvG82y1 Q+80nPdUf1q/pDB6RT8HFJ7V0Ja/PUmURkhI5ESifbfPyqFAmfPPF8LMe70yP63a6IzYGnA+1kwg bHjvdbJRe/zwyUq16dxqZwyw1NdbdUZuJoxPVrQ/WRnKya94Wmse0m7+RBJvOGGploL5KBRQPuJQ 2VNFV3Ae+KqajS0rRllK1gNCFcQsKfquZjDeSucWbpUnYOin7Hps06dnLx6iTww1pD2NYcrKd68c 76U/9/AGzyi4eFugoMmouRj3zRN9E4nvUB70pcb5uaFZ6IuVIAnfbtMnQVVBWncXMI07sKViD/HU BSA5ThIXvGXxqTqpBWqaDyyVOPnmCUaMTYJgGfsFmW0FD4fy3lrUUcQkilcOdhS2mYqy83V3m1Jm jm/XtrxRzeN8pjBa7NMuBoHjCPnrxHiTYruw/ItJy2C1XIj0/BwQi1+TsOhZ2QWbqE/1fZSMpYEY nP+fFXxAyD7CRWovPelVJf3bv4lmRom1qbzoORPYzLaDFNXbilFy9MXEeB/5govUAOekprRIFA6M O2ILwoIZIGKN1OKU/kS5R1Qj1+8RtRFLZLhpdrwoR8cK0g1OYDCDFwJNSbfqB0TzFTO+9Qd3Vc5H HAkVM/RgLGlG5+AVrYB74bAkwwbLkolZdbVr6Whs4rO+GpKXwSOXqszos6iNkwcfUYvC8cV87IB0 QYrDWoBL3neHowrnDKO0ZHiwKmgbLc0N2bgSXcW9xoSVOM5RXmYK5cUJctmGbErFZNLwP8KLgBOl 9lLCq3Pg5ZDIS3J4kkkpMqWR0SxKrY4ANso5TuNYwoP63imG2DKEHLC47jcOZvKG3sAka68Hgbue Tf7bP+mty9Fx4Dw/B0EV2JZbNkconCueTbnktESeziJ9CHc/WYare76aT31PbMU9t46BP1WowkWW rkOydwgle5V1OnvTeNYun54uuvu4NbjCgSvaDf/Tp/5pqnOVTSFK8xLVRSSC3eu7UYAR9W69wju+ rOGx+Ea64SNk25Q43CUuQSy5E6JJ5kAnUBIPmWAsBd7pSsKHhYxUG5s/kZQ4S8x4OcJxCI8Lp7MM gzaRd6YR0+u8drSeP6LeXHWK1j1UFOcTq+D//i8eUrZZC1IA7mXF8MqohNxX82YDxCl5q2xcqjD8 /sJYwEAnRmkwHELnb3exxYv7YNqXSdAznPeaAXZJiAWKEk74oLTJi1/3tELaYCqfPMU6T+RQ6AZf dJVlmVkVDALFtLdfEsL0IjTuG2idLC/JRrZjcilo47iP11897+jZy56KaXDCEc3MdFHOteJBt36C P6cmvZaEbSl4dGQ5pci3UW6/iHHU+C60vmG5vkDOtCh2iHTY2fQYr58Cxa/egPU45ReY8NkEYpeM VnfzQr8boD3bHUmhPDMfKMZdqJuyh8vFVWAASxkHCqMnOHf5ri3PTKqTRQNH6Deg2xCTPs4d4rdU DaYLiQO7cZn0ksxZN+2lk1B7OIVbmI248+WnS+RpTL4PRR6xhctvToCAXvDdJXc+ID25vBUxu5Gs OrgTDRweEPjPaYpwNvEGbHtqPDKRuPyTSCiEOcIAPVlWE69JkDjkj3yCQBLj/xEiBvC1B038JrLP 9+eDCDbaOJ3GyLqmG+oHGI2XRS2G0NeBpcCZYMwGgd5B2o/wEQ6cBi9rskdhnGpH5iK5KWLhTPHe GACjokPy6MxTnySm7kkokJRroTmchWY64MhN8fkXS0bJBMa9QSSgNDDEy56WolWjwQT8BiN9frVA E8Zi+Q8ko68HP7zzr4PS3Gpid9ACE5wPXYescmTOh8Z8g4asyvUi/AAnEY9+QeEEL//TlgHu31fj kX1aJaSr7z+XNtBrorXI8xfQXPGOHEZdNw9VzyXjBMyphlf0rzCIm0vVgBqHxomtJhTGTS4lCxbf cWwVRukzdBF5Sf10VkObBn/DuH18YrJw6sSeCgXMNFDIelx5hgti0v/ix9nC8s3y69fiFPzCKqji qqReIyLqjk1CYkoq5f5ssTtezHz3Gxlj9PKnmMBSKCgfCas5PFkJNBSFy264nAhBnD5rm9vLBS9C dPLiXA+A3nlpA/HsFOVGIEUUbWiNUvK9AcFq0pUjEBTlzxcHHaJczhLF4WOaffn4vh1jqT89p1OI TzAD9aXswiQzgPft9RVVBL9rt+rl5aXX5cggwyDNCbYqcgBv5t7DolrRZgoZhYjCVKYT/dimUdvU m/XG4tlFpwo6meR5+DUR/839daG3/ARaWVYpj34ffd1AAZ72CBrjG9qE9f+m2EGVIg8gHTXYR/r5 YbE7MwNOehXKwaNF7lgDm+1Pa3y8qiX2e3efH1Jjxl2suxJvYlRdgfBZAKX8FGrRt1rD3zwJsX+j TNzJ+acvkhAQ1bLXMAdGgqo8Vfg0xGFrOTmSRJEbOhJl581+5Sb2bf1IzY/9EHybSQ/4AqSbFRX6 Fd8+8CjPmdyUfQcxDLjo/ipMkpCFDlGpHqfWZuqQzOjfhW6IQklF/pTNjbnvtmtccYXHPivHJmFA CBN+oAumgH6tD3WcRqc8C7nR0IbeWJZ3QFptJN4gmPrLSqfQQ5uzdRAlbHdXFafmmccEmSJYJ18T bwAtxaejVmcz9CLu9PB/EuH+gWGI9F1/mNWqE6OO7DZAKjfbYi2HvHgV15BCO1EBzPyZPeWMiAAX 25gC102Yn9AHYF82Jcx8XqgPlz9xbZTXPtMrLGjRuyAzlofyiXE2CRZxXcxCk57Opd9FYRV1gboo WgvQ9zrSqRiu5+7WN7oYUu7eAcq0PZy55PByDZfsIANjz7Db9vRLTq1ZJtkZZKXZFJk0Q4uygsHm /s1LDHV8OFgNDyZsFZnSX+cPgOX1OhiVM2dClxXHRxsYuuddOl1AKqrIosfthJmW7m+BC5iwThcA oWStSO1ZZxdaV3xaWh1qnWppEIrlKQyED5OI2TcL2PpEba4XrpAl+NWDLTCnGNg04FbCpkQ8JikX IrwK2X24e9Syg3s2z/q9smV2pT2rGljUkjPf8EeA7F8MFNpDbL77Z09XHRzVvbccziaKgsBVZ2Av KgjDOyAf9vrkfbf3Q7kPShLWjB6eEnrwRih2J73P9XIKk42ni/CWU5U453KiGELzKCUKCNh+KPur +lnJrs4ushrwBDBs0rDMSjLdyTYhGd1Fix1H9jxgcQmOXr4NlzjLiYiufFjdFkIm4itkCu2VyIUR S+Lq0z1PnuGCvO66n9Ib2fcP+nWghx8ke7eAsuCKdirH5Q2yB/62w0R29UeFy55fg3S5DxNVnJEF 9nyRTHexZ0Wjr1Ss4VKQ/pWnJwIpEquBZG1K/aAGn/NO3xvHD3W0+lDQdHIqsY9X36P8cBKGmvE0 Qnh+S0Q89dF7yGYHgLOswaRsA/3CmCf4HQ4Sb7NJzOWqQJQOUBVYA1DpAMjN5JqeZE2Lc77qVt1B PN/XjjF1kLsgdr/+pzmpZxpejHDoBH334MKsz7ICfawfb0BnuZLSJjEXRKRfw7q3poPrCnstRb18 q7pjqMw+m0Y73GwLjlP0F1OuVWUzxPpkFFpJ33wHe97V+O04fz1ay5J8asEsLT4O3D8u3kQa1UH7 8o2z1fA5wxZ08t+d22nx4EvS+YRmgLXZ3MqctM4qZU2KcPQkNj1TwhGV9LG+A285ZqgAAOY47NtT nu1Vi4I8c1wQyn/C5wAgsOi1otAZx68yvjiiFJ22vI2t3mXFP8feVOtVu/hPY8+YM/hvE2kr+WIq nVoDGmo0afobzEQK7Nf0/cxYwcvH0tpmw00fx3xWSP46cZ2CKYykqvgiVUYZzNjp5FbPXOch9Nam pRXxNrZuOiCnW07uIXECn8S+Vod436gLtNwXqP0cUl2ohMUlRzdEP9mFw8ZOoUq/JgELiCZ9ptlm Bzrx8ktiHd16aoO9QVOChyyWC9T+U0+H69ifjuYjOILwf9Ofy0LArUS02mv0ivRS6Zq9/YOGI312 YnKdhn8ezBaNhDeJSQKQptIOebMUdZp0gPmoyTWcStMy3QH1xGAsvlvx1l5iIXuoliFhuNdKqeqM ZtpzsEJR/3/rlhndC+Fy3ZxIu486cX8ct3seBV9tp+Iyds5r/iawO/z6xs77PGvinHJKsY/ojZFl pCab1g5ny7BBLbwJSF6R4hX4g8BckEIoV4KBm2jIdYrzZi6Wym4j4dP4Xr8Z4aK2T/hIpntC/mb4 rjCBrUlH7dNt3uR954JO34XDAEPUWLschXIGnX0YRvKI9vT+aEkFE1AnVUnmFcPYoI50FRab+Lb/ 9sEtXBXCIQo/ll5zkudxfQ12EqCw5+TsSnoQhCh8drvSbR9unxeeCXbveE9WqwI6/InY4B6i1XD8 0APftoQ4d5uqf0WoKBQ9uavTZZtue83OtAPjHP4DDrgCXTRsuAlk5EWggFnOOczrOXfNHqAnfeDD f9bhml6De4pn+wE6k02R2dZw/lsgV383X2Vhh/3J5JPJj1ciW1n8GvqKVqQzCeT+dcV9w60dWfZX puC0RfafRscHuxU4o/NGBkzmXuyB8yk/0nmqeKWnBf1ZzDxxtkKGJhppp/1CwqKXM9L8U4tO9c/p 2qjM6heKOSffHdzU9cZjYVsiBOaGUZoP9CyK9pnZAuTrxWGJegvld6HwRXp/GV7EPAg9UUL0dxvJ aiG5GgQRU8ZGBmo5mrM/V3SGEONpfqkrn3yqaOdkawtPpMWA1zUJss/D+qmOEh0JQrJ0HG8UhssX lu86bUrdFGjoWRGyBFHVJ0y/zZH1ii3oZ8wnXGRbUsuglYNNFc2qIgmmR0e6h7pYFqlx5SMjuXFY JTNOHUjx9e2trHTb5piCWh4cx118XlA11Qc6+Cl7f4SityVoGSMuFxYw/e/sY7VJEx5c3rtL+Ph/ HyH0qet1W53fgWWNNTrvxKDX+fzGZIcE7th5bkc6eY60q8WFmvEvy3QEFyS0ou3yBDvFHsPpgQE3 vuVqbbwTZEj1bvhI82G7RJE9BngMBamAi7HYHyLeeCgDvI31yQ6YPLF6bN9dPy2Zj2HH1EhD1JKi NXQuA+CFomc6TvOlDCyHIuJPE+YXbyYRMwPGjhe+1PVh+QasJrSnR1EKdzijFtrbqDw7ifUeDue5 v9BAB1973RnNCik1t3ki5P/FOzGfG61crp6jPl5PaHDvs3nvnl3TXYZXMFnVjozZcVIoA+fyVFtI Bfepife3zZ7YYUE6NRPPtj0DkowYwqEcgTsTs8QnQY+CkKXavR2mkXlojppJ2ueBBNZikMNsRXq5 La3HcA/suULxOYwd4DaRKdG9l1KTSkCpygch6D9jffhtngfMONWnyPWWzr2EHv77ZjWes3Y84IVv qHPPVrk+PmMt9hmRdsdYxzG1TZSaQ5JgfXGRiDCoitrKSnEHobPJjh72uJdz3E1jR/XrWUoq4KOa KfZamVSUYphgp3fU9PqEjFf7YfnqzdxonyqYWApxLxzGDIoV5pOqicc0LZT62+K4ir768biKQ5OH 8m3pQMgi0Kttz4TPA4BI+V53NTpIHyuSePwS0UuhCcPtlVedfsB3M3XVV3yEmZvHyyBNx53hi3I4 Du6niL7Bakn87gnnkFVvdYaRso1jTQOqs2guDoVCHvo0Tk0EVsky3QeHmKI6oTKLwG33F8tTrTMY 0D5Om2t7JkqD8ZdWmnKrqVaU1JpY4Lw/vvxzinQyZORD0WH7bJWVJUW5++cjNLU+6iEPy6CZkSmL Nkt8VI0lEj2xWdGck0zljRBggtF1oaiv/c05YFdAaOia80npkoSB63I4sf6158hm4t7sXh8CDv3f oxxBYqcImgdUr5/sxxTJ9Kk5N2ddV6HmnqG3+8/UdohLIvxVRJ2hbXJH/35cBg/+LLj+F8kXzmz/ fvGEWu6jYiY9qEyCZvryGksK+A/TaTOSUqKOAAmYeAGvWsxvZ8/KXrU5VmyczHjqTZLnezeRoe6U tnQWF2+rZ367YNVp8nEPf4CyL4bNdzxD4bvo5S5OyLorbWjBcn+rTZgnLyvN+OsIqo/v9Q3MlPiQ ozRQXNWds8pL6WIAiock1n7rjfTRbTPd2RIDiUbOiQIPdtXsxAKXVMXzPGFUzmGp9dv5WmZsWpf+ cfDLEd+3/eJZ6Bvl2av4sAEQ7RTTrigJSsghX/eFNZTPx39cWO8DWBU7g9olD3PdmPkUuudtICIq A6KU6GhKs8sWAauX5EA0UGuXEZGjiayYXqDH9uhGswEL8N8xYiMETEp62JLb8zwQVA+TG3zB2fZV nlwRof1ei93pqQYfIDq/nKc4Lv5Vbl9lhAA0zw/CXgz1nD+fszcR64wcl6bmgmwZ7V5X9+OKXgzL Ut8gl8Yz+LSsJFTYt81s1LQ7Z+bo6h7udAoOIyzZzHbUpGRlgKuMfIKhK96binTAYp8Op13ZCXOC XMNH8ybFHvPlX8adWl2koIWngz9IsnQOVjcvi4S9ZZPpWyTtXiux1GChWrK2kFiZoXIIXysom20W lUYxor3aOAfXeL9UdUIeDWs7FLVQS0JtpIGqQK4hNbe5DQzXrTykZGaOssGcfnzPNb0H+kGKtKio UD5Nsi95ybhVHrQQL+C6hVEHev+X2zCJFlkg6vMR0L8cP/vmjvpFkjGgVgdmRmGVrWtnLxXmcNKw rqe/B7/4A/J/X3pt5JziX81JTj73+/Hhj+jlwfBMEFOxOxHsEApUTxgDIyEuN/0npRQPSZBQHYWh ANR/24Uthyz2B2oMLFvrzLQmtEJp9o6qi8E2F64DZqivJO+nHmY0ptH9ojPLFAZIBxB4ZEiaEb8n x4etV9watqmVx55M2pLjVLFH0iZADDc4Tv4YVss2YIgjCau5wdpk+ZhDm3UbnNmp6zSt70vWgGE5 jIYwFngqwPRLYiRAAnbyV479eJpGjDr/jJpqNNcYTZAtK13/ViyaAmQjwH5GBKYQeAHksSw9d5+y fF6lM1pQF7y1NVrB+BVywEktEVgYBeH+SVhyg+mzFPk5k8XtMcJ0GrvjzPwfVJKEnlqlS0230S2R pl91j/zWsdPchBGUhiTcvo+FXOd0ehZTjblBoQa3F8v7wT2Bdno1GZC2zX6sFe6UORUl65DkJeLb c2I+u8WPC7JZSWDhqrcNx7YhUh0fhBDLmU8CI17GMZYQQaWYIT9g3JJocMrweKfLcKbJbas+W+bt aornC/kYecGbJFxYgyhtcpKT+NWnGx4bnoQrB+Ofh3fqSPNvEsA/B8FYxsaXLnHzyD7OGsg8ogaJ edvWEQa1Q0aYBOaeRyl7JUJ5LjgaJjE4Ea0DMIY+CVab4tpR8xTs/MAwwdpTMvFsHoa1g3akCpdo 8t2a7R1rUk/5Lb4Q3BffHKAwYR1z7uK7oeqELW5fJ42kpS78aB8UwM0tcXZIPGbJyB2Xg3/zEC6B 0lOIODqMbXdlCRvLmdUKDOrjJrAKD6E3Njl5fNcpVnFK2dgCx1f5IBnX8SPm1KNZHMphb0QLeYv2 iTcNV5AzEebNy91xFAxnioKcoMG6wvJTtRM3aRlO03iq8MrOQY18YREhhaNy48nBzYhSyBtrdsL9 KBthPB/vqSk4k0SRIH8I2vxwJY2ZYJNfAPjpmRk3kvfoEoOejtUb2mxlQIGPuTaoNSYXtUPy5UOe DL8t9hJdy0UFMgpqRWHdLZshUTQwL+srM6BKWQuItPMQJ96NnxIUddDqQcYXQ6oVrmJuMMNbShxb 2nagqQUU/c+dUYH84+FMDC8iA5Z7a2HAf/zGKMmW8ALiXpu4CjjSkR0iBpoHXuNMTfcLLVZHNgyV f+Ya+dQ1saQiepOAKSDN4aI8neCFJpm3jG/kzwNpP0Q87laKXPt1bjd59NDt9LWcRyD8l/oQm4BZ CU1p3pIIxc2PDDgJlxKvECux+YsDV28+Q/SkGe6Mt5saGoOP/N6JYnaE78uIqPkHT3pI4r/egL4P hAhJU8Lbdq4jwCavLRoCPclLtPYSS3QWqhqzRIHW8B4Jb8+uuJgA4suo40kyN8ILsXc3iXtNGYN8 lQ+C6dGIRoWV302u9f7nA3vQ4kMW94y3a9ZNLZdOW9fURAmpxVo7tYNFfLgmjqqtXM5l9uw4CuTG pTr8Z4v7LUx1xJMCqqsJgqsYFnolejqjcZbCmybOOFbK4o8f1dYKw00bAqf8YU7UJHGqOqeZsNiF auldBxsM1/9LZmm37cJWYdytih+foLqCv9zV+DiryTysHZj6Uc8GnGB8c0gc575Y9JFQIJ0ivCpC bdl3EjaR5GmMG5d1TxohR+T8tKf7qxWGvvivwU+0TFfbZjuandLjRNElXmguDzF1QVMPN95F5kqJ e0i0+ee3YtOFt7uJuy4VnZJYMqHSKp1Tn88PcDb8GxsMDPpogHDl1o58cU9LL5CJ0Ao7KPEcL37U HgLjuADlKxw0eeRJWYCbZFcJC9RPoROmfYfHhg9lskKFvuspY2oNeVcpuNk5mvB3KZ5qatVMKDqz MErdFmmehQXacLSRYUhC0+cAviDJv2JekLbzuuuhZ1aTfr1VIa2eE+TXYAiyhxu9oj2NaqE1js88 05M0s98j5it25ILsmA6UQUfUk/rBHvQdIgtEgjBkTTg8nvSwOmCAtWR4RqKxAq6SWI4XmimA/BkC Iz7pY44sR5KR6FSe8I1eXXUsdjKjll4jzR7mHVXX/M0n1RQugSbCWLLyQnoNJGMhso8Cq3D0+s/l REvlUAd1Ai8pP/w/A6zZZp5Eg0nP4g69ZBa0ZTbcRcsZAy90Es6f88ob0EYzxWJZ03ckAHui0zRN jmHgj98r7xF80Khi5BaEL6vDZaEY4+qmp/yxmbOtekgppg0Phjlyxxv7JkG4I2dPckwdjE88SOst 1Z0fOEsval9VNN93S05KnPdZWu27125cpO6xUXydNxm2SiiWCDv6Gt+u/LEWjYnFgzOmTN7NwQme GyMD9iCm/Lm9TpqcZ6M8Xbhu9quJIcf0O/w6iCuk5nzyJZ3q+GZL+19xB2WRHINTasMQNi5Jvpo7 q7EvShZ1gbpOMdg4b7ki8h5luaji5HzpmVEQQ1KZwPHlKSnqonAz71XiQ37jSGEQTO9jmh087xIs ynIEvUIywC+gDCMpc1CTLY1Hp/sTyx5qbTFM94RJgQxKYRx/+M7Wj72NKYHTjkGsmT1Un1QpmDQ5 ZGdkR2whv4dtLAoT5w+lxKakml8+TNsbfd+ZGe+NFkaR+xWxPZOmICE/45Wilf2pGISZWusLGPyc 96xECO1WmMsx5PsyaOEQXY0pAwcXjtoehzTdjXMIj5F3hQ61AOmCLq8RAXCxyolfJtL5TZfvWjwu OoaoLpF+tonfoNdkR0kO+SekavVJYnPRiXMDvljYstpopdOwLobE7wmTw0UButgTxKdTBynjBM3a RAtDIcxO/9uBBNbsGyL20L1vdGIIuKdS0MzNL2MaZKYL/zsgY0n3vpCcMz5Sz8kLCUR7qRaDaDAC VIPh2J2ScvmoCUyPDHQHQkVcKPWlbePXzaEIkx3roiTnpqZH40jntVd0JkLoYMmwqkrf5NX9Ip9A XN9ZWEhOKYN5v32XCF7DesnLzA5kOYIIUR4RnegJcTXwYDa8QkyanqyFdPwI3a0TiNDQOE3qas4S /jueGAWIKnEUveDu590baV7m6oz6/Kw+6Piv2xpom6/NeNftLVzEawJACHF/0GOWM07KG+oi1Sy2 8pbmVMKJmRCPuPIHiVB3vJPt26JblMxG+2dAlfoAGyJvmeoB3Mudxk23Br8PtthVlD6oWJkPHa/r B16Y3/MnHXzqRFV2w+OS/YBHnK6CgHEjgA6JZT0F4ZBscJf0+Vgtyrg1pIeOcFDiE4+sI1ieHKpl QMCD4aUg1eFL0/FuhQlkwol6Ylze7AXg4hHzt7R8FcojZDBEsGc0vfvJZLavwPPBL3qEBMh2pGma aJeafAAuttjbD4+LZOeI3COyqZbMurwsMSJObj96E25r7nKaR+G+R6ng/5UsjttGX/xXlUY/CaEP xAREveFpjs5dk5cLueVithUo0jj7UDhjSQ/33ymwjp50/eNUpr67qAYWNTwWHTQFJC0C+HsCMjxf LHpr7ZvG3smzYDsl7RQgye/XRzts6WYrgSsawuxopQB19VIHl9B6l7cvX6hcsLHjV6uXPZUVPWPI rUDS7v2tBIrGhL7EZuD+/cVXCWL59rNi2BlolS8a2vk2j4dOy2XJqkrmqUNJWDQYQndZ0SUiXJPV edekuGuXqO3a8o2sbzb4zModQGrfhSSRkek1J62SPEJ+FuvOEkaRXWp3BMIdsKFrjZeVZ7eQr3s3 OPq2iqFixsjsjEvYGjSQtx+svdCHLwjaqTt+8neG93SSFRtskJz2RHwXGzdMVCGPTbrWlqHfjTbs uGjJd8so7XgyNGjpfzInRHVCH8nAIBySOAeU9IObPZli0ep2/LAQ4uBjuCnJobIxGsDlsngDw2G/ ot4VOIjgj94kie/bY2tMnmxiaogb+aAFsVZMwDpRR1ffr/5Y2ozB8U7wyFX4MtT0iD9FJ+jz4wmV zVwAf6nCFP4jQncon57xRvomKkzQ7rjai4jpj4LsWucbOOL5O1rp0V3Khgi9bpYGD+ILyrOG9jre YOzz/E9KoZ815SlauqnQXm3T46sBfZkcv0gRIzG3RxrjdoJi9mkiOxR1Yb/XBdWaYhNnbk0688pH O4+LA3aAy+yR4oCHHa0HbkivPTspbMP5zmBoADIjvwWt54YJKw3eGiJaXEfX0KK7UDFtdV1kHYxp WD8xn9dF4jN632fNmloV6e8VHYse0MG3tMQ6JRXBXF7hrnpvHLBZEDLpva9Lrrv3CoVeQoDmcndb UUYo+XGvC1gviZxyCH7KKeUHKCM4tyRARMyAgD8PDL8BE34Gys7q8nj+mTATO838z1BIm5um9HHI xbihAPX1wou3FKMKvV6kx8xSSO6sVTgUaQMbku9hJpZOCsWwV5QKXzPDZQXbg/YrNmQKLKXYUZ6u by0ubhr13VhJk8qrdqvRsW2sd+xCsl2qb0DyllKjeYVA2Ij76faJabzkZWuJWe+PjAK9j42rnj/2 PIIfoiIR+zH/E2GstCB5l/Y2Q8GmlvzN14vlVDYdrSj00RbgD6NRDTM+tDL2rb6aAV+x5HDE7aZm CVjSoc3WmGTvc6mt8gcjQH3viYeiQvQpND8WUlbnCJi71apBZet2xATRwtoJExcCc0k49ghP++d3 rnyZ10LgS7IJDjjzrD/zhTsqqpJf3WwhiExK6w80epaRA+b9oHDido0W0N2RsueY8QtjcIUL2S7j VmlL0H87bePhDnwYLPfaal/5ETa1+YZfudY3jBSU8cVYeEFsytgCt6YDTFd8ndJFjLFoCX7hvr+q zU89A2Bp4e32nd3IybaSW2mcbE8N0DdxQaO2EnEUaBj9e19adesb9hU6KeayYf4s67fyrJkZKrzc WN0UBo9iQ2P8WZtX1Lpx/wHA9pCsVLU5YrPmAoPASnv/GD73xRXefuoNrQ8IoJsbKgGoftGYwbbq x/86pb/VAaW7cAY/1+jSU3A7xsvmyfW2j/ywjOyPw5+48+Gjel3wP7bPV34/ZLL8AwbTBmNYDtwp BZpxr/ilVzHuwvQLU94+x0jv2Z1YhcR19W3VuLcmFYRldD3BHKtGBZVBjVABD0pEctfQa2aOJLSA eQAqt4fqwQG44eSIon/FZ3IHEmx3UtBbKq1n/rkkJXhUTBA/8v+eRFHvdan5514nP/3CQOV7N4n+ e3nCztb4n0q/RUkh92BMOkH5///g3cljl5+qhLqXAYJqYBlmlkU5jaKAGBZBIl5mjmy8PWOU5zlz WbxHjGJVOIMDfoGvnVJjRRiQcau+xggX3Z8HWCl+R85qaAkY9jVyYRw30rISDoHqUHOM0fjFGLHN eehd6lw4lhNIASZjX2yWwCwYFRQmFYoh8E8v1P+D288/hU7dr6Qgf4lprtmS558o4KfRvhHMIbfB 2O7ghld4OBPSASCEWK9YI2tI8P30QDs/exiDRa7fkY3/TgDfLBKBoHUvNiK8JMa7YyZKVb/zBLLA HtXyHyeGF/jYiqO65+QXOOeGfIb4JsTCSu+W2zBHmwoW+/C/HwNjqOcPD2TT5wCO4RAoLRDFPXgS bBDkdw9SYfggyISa8EkCh0knezxZF6PRZ3bMtS0bcW8hUz4sg39s/2x4skEAKR9jHzfKScPR/DbY +ywAs844K24NyxNsrQHcADSy/g578x4hiJUMziiXv46DbY1pBqHwS5zz+2Xk6mMaZ4TyezTbkwx3 eyt2Dj9+cuBAGozxsYGnJnSavDT+11j8qKaApope+87sfjhhX1h6Jh8vgFZ4LZ1JLWnci0lKLZYO HSVAFbfVDxiY5QPq5AOVl4cEglXGJd0pi8XjKSs3OkuPN6t82KjPF15vC/GHNtiOPhMj6UtdbZU9 XXwqCH4gEpLto9/OfcXQTZI3LXE1/tgz511In9qwOz+fzbSUoTxQVQR8pfFFcqkCn7jCyJBdXgnD czF0h7F1mRSAdJ/Ns9DjuCL3E8T//NARVm4yTndWZHvcVDTuRD7Vd/h0pMNTiblYyz81f/t6Icsq AjsgRBfKblDWHI2wgMQuyPOHzvhaPEzu3nalleJfsEzj6YFbYCAEPhs9lN5ns8wSKWegh6yHeScb cvbqdMV4BvEsL/4T2QYeJt6a9QGZV3bblFlcsjqpwLJBDr/Fl7Fo6/60MsiFU3of7E5MALwVASFR nXJAYbZ31GmVwUiVLOzNVmyGDaeg1BkM2J5NMudVCTa+qgCvZrfEI0lvB8MFguLfooxxEiN85XGp ju8x5qeBc4xcKvFosnEDXaFol1shGDwNvUxrQIvN9DX2MIENYdLGNyMO4gf6tPhzhkHZqFK5bD0E zoGhbgOPdFPkPH6W7/kIY9s0KxWEj8/r1cCLt1JCujbba+zo3hxfbJ4gUIxUiSFxxNqw997vhw4j Cgb6RELD8KHyN7Ixa0krfhXp7PcjitBZH/bRShjaPSbEN8uz5BUPTUDYPyJ25dRBmR0rox2soW92 r5OjYWL/LfJBt4n6zLDXP6r/V6N2Q2KmVdjxvGa0Bg8t7y8fwrVJDFZQUPafGYowagZPAGaf/H8l FHhyEbhf2dTPRuPO6DkfnxR7UpnSBcvn+HZB8PMxQ2uefBQNf6TF5Ll9ZxLXK/gSkyw9KB0kWscH FZSSAyYJl6NXmd8Lm9oseQoNEf9aTjwc5Fksdbt5abxNjWO18MTDFGS9na6lS5TS7AixDLpXkr+W crz3xhiXqKduHdXGgquSp0oVEvLPuz7OVczesq1jscgCkguranme2ldN3rSVbYtAQb4GykzeGSoe aJLVBSXVOf/dGwr5XL87EDZJNfBuSokH8DPbbz0YcxZCl9qV36Nlnp0K8SoaM/MFnpM//ZI2kkAo GtY9rb3AhtxzBOpWmVHbZn0GqkQDvG92B0s396HXq2tUhGSJ1Ab37zbx9+/PEdzPyODxs5nwLUjO amwBBAuNv2eIcdgsIG8PNkwb6FYz90GVV/umfdJcWA9AmomnwLZQjcdPwVOBB3yqEuaSPquAK+jU DYgyvQr7hNBrtIZ3y71WUF/vnGbnOUbz0Xu9iSsUC3vnh0DkQE59FEDKdRaAQBzVxdSCcPWOY2p7 VOEZNH980MBwtkB4wMuBpT4UAPFKeW4VVQUI2iS54FJmroAGcDGI0OfZZLT319eOcrIC+cyicHeD QS32MNYE6d5mQq+nryIAxHldie2Flo5hPYmM/VlnQas8T8Xn7zcf7wOusRqqgzUPc5UCg625EHju rYjddGIW+7XZ03HlUOR+JxAloMABMA9LKFpzrfbu/IrWAeLy0dV6pXMmxxhFbC0ycZOh43ls5h6t zZOS+iC7ZuuT6w9MIMKd+OqVWcKfnPeXoHJ8R/g50WI+rzksZje9OA9GGL6Uv7iROKFBYh94NTSm RsuuqywLvqWv8cwdlPXUstKqSP5hFdN3Z0K9OFvUHjfXKLf4KT+O2npBIoCi97cZD1GUxZjOUoV3 2Ax7nE37nwi17227kT3lcbaQJvGT3HPXtPJ1WrRXNCugddCk8FfqzAMGmoMI9B69LW27Qa0BEh8U AWGnczDuj9oQwd4RKl7uQR0fkJdJVkPmoeDdLtij/0EHpdq+sLqEYn2Kh/y63+s5B/wbsZkES4xV SANUoPE59IYQuOOZnv+8zzVAQcs9Vu36Lgw+DgdNTLvQYhVHb814pyK662mKgnrS1JwhLsfaQVfl MLcNhqnfNAaeGXslywKl/axJ8x3jIFlGITyiWteaJx0LVn+yYbxjsQTUQv2CW2g5lkcyEVfZCJZs hD5dTLLe8iHcmkTs1WUEzNbUVJ/zxsGRaTiHR6QaIsj+THP2XgftNWbb5SWck9rEoSP8hXmRmGr5 1A/KfU9bdJROEyH2FzumtJXj5MEOCgf7wHsS81KXoPe9rpkm+1Wx89q5fod2uKDyxWVYrV2ctqcq Umt6wJv7cbPlnZTR2mL/nsWArHNNgOg9J/Lz1DyOn4A92dFpUZYoN9bpCl7MB9aLUAnK6dWMnI19 UcZIIP61uBHOH4HZp9f7rzJFVsQjD0ey1fJmx44pzCdts2tVbNB9VCTG2FxSajAldxhwLT90pJWL EGm5htyJ1QCXYC5QKIwXx9c1Ke3Su68kx2r2iWCjUwtBEaXWdbAYfl2RE3FPFpD5Nxb0RTP4uSr5 2yQGBMpr8QRDRtLmh1nT/JViEGX+x2MdQ44HKHIRcUoiGw0Zvi+5oehl1MuGu3U0meaRhrJo4jGN 6+RpzskOe6+KC68J4kZyqt4A6TEsI0Yj4Uc4Q8F3YhTLjOhl62608jh+dyB/jmD8e0ehOiK38gZf Hb5d9KE/D1/3BexSDD2wZI23IXUEfTJnfWlz/YFF6x3J5L8zhmOA0YoJQrY2ZwDyNedbb0QjlGzE nn4LEtWMELOOlCRfOx0gAVAOv94cKVALP1jwE8cZaYpUdQyXm5/cFR58R4/J+roBWHe2UAo5NGEg E7+VNMFors2VhSlb7l75dlUqX+oq1GgpCssTwZFqnyf6pC5nHqfEqnz/5SPNRw1LagDqojhqTUj+ s2wK6EY0G9aVbGN3sR8cNlbd1mZo5BAF0V2OTC5UA5T10XgvyD7Oqe/9GWCDr2EmqwVYd2tnhnHj nzp5MuWoUlKKcKkoL0wBNEa5FYBoD1tZSpo27s23PZmiEj0rLldgCMe1ymbY+U5C1Tw4kTolj8OS PeBXFOxW1FyZbq6BBK4cIDJb5Yjv5MRCEzSYgpeZleDPDwQ8onbZrUdP3nw1ih6+YwUGPgGlIaj3 UnRCddvxMTUNMEUSpZn1QRBh9Na32MR1iLdN/cvcZ8rlyJ8OE8AaxUcHJIPIlSDH8tXcXz3ZMiR8 853nkZWlpwbfOGrBOOOWsIsMEw56tRuZE8GiwyDUTyUzLOziYdxsojDY+LvVh+QI1tIlL+6J21oU MDxdjI1uwNBz3/tA7LNkyUEBxE18+I0VePMF/8phy+eCgKcFdeYH/4r2S+9DQq9Fc4xOn2+NNV4+ T3fGAgELHl27ZJedwIBjSlGNhoivH5H6WesbHZ5q5Gs4nA7/fDKhUUqG+wj5bhQE4hBNT8wCF2QC PPlSsDc4YKnjWeT3YY4as6PRXM5RMRrta+HHXuXPW636sn2ZnEy/I8YMFtftXGb8Gtm1CD36rgFT 7OAQkgpu5+TM1Ib/j47tGgS/MZ9cBD3luQZhlbF+y1AX4JAYDWrz1FZnaerCOvqGRO66qkbJcv9r c3kacqQCUxjySL76MlSSCftzN+PrJNFB2wg6vVfldI+Z0kx0Dl2wwFS4V6O/gdxcXBeSBNlo8WTK 0WUUSHtGzdfZJFiKWBXIp3AsWFKQnmzBcZbuur4Iwe8Bnadrbjf4qzefrzkLlew3kMq3pNVp3IbN Z3Ex6BtCrDY3BNa55MsqQBFH3CRfmoRLXd3/PpM6mWnAqvLrfyc5Og7PqTx/XzGdgV/+Coj6oLTw 7rlPZDGKbZVw8/dznk4uv53nhtNL/IWyi+sWK+8CS22kPkJyWEtwZQQOdotSR15hOSyhqJXNSHAk w2anUHt6aBK3od0NsmAv6kKPT00o7DPq8YDxetCElAlt6AjWQpHFOkw1/82yOcL14lkjqImJCdxU mn8J+m6oUoqGZUzI+i3C3XllbxtUgQFCV4APoLeNeC1c0yrv/5fFtt/9FADzdGrSxHBsdTFSdxbt IXqhshMmq59NFDGwAp+bTxJHhSYJrhh8tRvVseeuHeBsYNgDiHLQdXyLsw2LORMETNivBX7L+7kE IVsvinRQRxXOGGbj+RKYugBe+ZQiDzuBlYIfMSlPFBtZA0X+ABCoQ3W3EMVdHbwcLfMzHtVAIutr c14cu/L02F76COV8tMKlesqLGS16RQ0m8lXAGhFhTblsnXvOVHgEKFhEHCnTpW/DdJu0Fd9xSIp8 ko6Q4QhOkUqJzU/BsSLw+PVyOlQqgX1I10bCl0k9u/KR29cagNJQw82s4Pswg8V06rjgVug3u3gT ovYy57xD33ZDLV5BWu/pRxAlarQak848b5ooIULXw4pJhTKinQVahwRxXOfY5e5aTIaxd6QwjKCQ wHiPLXmEDQo1UVb7trGAFCPkJYXXrKFINbazemtAXEYYdEXWrZOvxYTl2s76CzLFiYbi3j9mU4S/ Em5vveqfmfNw1sCeb742NrCDoO0YtjzhQTgs7/gAerEB2EUs1RgTLEg/aIIdueft2XFG1VmYST38 lW6/0kQtaJLTZT7sDb5YfMZo05sPGF/85aP7AxseDJU1jqO0DuOV8s4fy1tngssqokmKPo02i7uv x3p/iar6RLHvVw1L1YxBQOWVsC9lDFj/hIiAnlziYp7KWjbZbM1vGLRGMo5uequFnGe0hXeQmaNa IpJT9oyDu+x6vhzuhqLY5qsS6kLuAZiErd+tgexlN7sPaeV3Qo1m5EfMWG37Pxtb5eXHsE5slEIL KtV7Zp6LqMkYniY+dIdWaJDQpzJtsFDY1PiXt34ZalMx6fI8r0iTJb92fzbLQNYZEhLyXPD9qb2Q REWN6qHv4XaN7281bf6NqpuZpi+InBysNsCPKa0h5BWZVl5T6Ytpnerp93k6B3tEIg4yqtSdrNxt k19MIGQIBb98oCvJE98rB5dH6C9SqsNz9vmvKWSS/TnHKh0+bCXb9Rh3KuKrplFLNx0HFENCtjFi 4b0GZHmPSvNbpepTuQtE05mGD1K45zexH0Ym/GRYMPo8Sn9lDdAUn6E3OelTBqDevrpEjISiAK79 lOuoUNbMNYqOwcAPVPKOBh56W0VVKvjErtDYF4O/883lkF8hJ/vuwn7rqyFlA4dHANk9HH3GV9up pKZf9l+80gvvzOv8Ibu9QuEmcA/QouKsnX/NHmRTqkn9FsZ+CryOdmA573ZiOoMYfzSpXk511ski anT+K4EU7v4b3/II6wUOwYmV/wkKtwUbpAr+Z/ggQLyNLZxPVedoYbxOfd2uKfPmiYd2yKR04bPd lpCDtG6wcyPVufWdlWOdirhmonI3+E2j5vojt9xOip/QzS0rQ+xamRCBUEYNmfZvLrro93ZxUQNb HqnCJphYktbdxibzJO+MieZMf0RhSGy6PX+bwH5J0mzKckbMv3bWAKT4Yo8con7pt8Z1xcAxcWRE P//ulhVyT/0+EAJGkT029m63tWvxSfq2YoqOb86avCYdjMQhMnI5BDn981kWx4Ce2U5z0gjTRmD5 i1qRWeMyvzqdOSFe2XEzkkRQBtRGP0fjn9JMDHUFKT+hfTwnv5Qt0nFqqKG2UZUaOPS4q7xIl9Ta YVLlQ3H4HZq8bTWpMUPzP6PRF8mfTQkuaDWdfGMUsxv46zAaKgxi6tsb4CiSSUPn1Z+8SjDE8gfp vxtEi7fuig56DNJZ2Qpm5fl/zzzD9KXClqlRG0+ytUH9aHLgGZ6y7zjLBcmrgeLDjeIuPv771t0f 1FO9PdIKMWtvSe2KewWi4BHjgah7LpoXnLPevRXWxxVvogKB4WFfzZmTaVVN2uMM8IWRovPOuN8c uQZnlYgmr4Ueu3L4tGybq1Ot1vrxnXonIq0idPCqBVmkhHLc5bbff2gcqZji1XNQnb2gMTYEQzqm nNj55OnlQFjXES3gRmb92htFtkT3rMop6JewQI8ssNcJvNVYj1JfE8DMcvJoNgc14gb8UP17mNw/ 3sii9mIvdgxb+OWgKDDywMbX+tW/xvbtjhgm2JANS+JKshGIc6fVSMBm6nrwz0GdEqUEAdmabcs+ oBXMfuQl/C1kdVzQK5Mge+1ZNqzUrkbfTF5EcYT5PBl9HNvj9ynnNfLDzb8k0UzfEVJuxq6YQQF3 Wy4YE/ymScKOwJ/E2oXjdjkS5vvlzC8JOSvsfhDCD+e6G3ui7JzkeFI2Qi54UK8dIrIOwPacYk3X K+shdX8ejiTDP0D2LnI0Uvv+PA/SYHQ41refsbSGQ7mZnFFse/cV41wka+yLrmH63C3i47ImsH8+ yZ8hCL66sn32Wmnehh7uuo8uJMxf4RKJ0jQzw+OoBUVEFLTCeYfqpYTpdIG4tz8HGwtY34bPcnHV j92mzAyLsQtrwX2vgqlJSkv5ovOnVdQewWEG/zSpKtWiKY7Vrv14xfglfhfOOr/rOGQqbjVryQ/N lQPFIxKwJKbfwJ+3ye0JyCAkmLMD0RW/GlJF1VHRIv44rZ1SwhdxX61jXogDp3pB2A2Dw1LmijYm FP4d4gtkoaqz442g449QigMVu9FpeQd0TmQbxXvuXsq22LbppFyM8kkuPwOPeZLKkzA78wO9Y29O r1HnPUb4oA4tRS7jcFQCTlwBUaCKkcu/1dj1UV8kxPK1RayNndlktHRtDhohditcBT0EgKCUWx3t PAUjDuKukPwWk49PE2Pgwix6eelvKuchksuY0kG/3zCvAPDJHAkD6JKsxDpzFRlp9JsGtTz3QG8f KMEHydlhouJkVC+plPgUSxe1PBYvWNBNMVHJkkAMgMGSHLkiGC8H+u74ePWg6uA9W4a7C/UeFJyt 0VOLKrBKnCFK/tQ8RPwbPSy8yX/otO0RDoCOLV88dKJrjPQC7BiSrmUYS1k/mb1kmh8R696D70Gh Pp/NwokuWqxfdwealjoXD1BAka3d4ka4AyNCVZ3jHky8v4yRUjcoPk1gJ3G5FYxVh0IOTnTWhLHF IIxK2STf4NwtxZOHvcARey+Igur4Ij5EX+W0zBTIITh14KqpVyj3AOsxi5P0m5NwjFda5QfwgseY bGn24qRcMOC2T7KzpatVKXut5ypp8+nFr2gr1h99ILDA6jYWWmQjOufNTGJHjspIgsesCsGo2bFc i4rN908ETgELX9adxE8k4WI97chGg7ETW/0xjK9zNNRKTOYErBcVNhz3LwhRV4xVsGGcXG3vHWZC eJzqtkSKnA/rD92rMzJiHUmutawrZtuZCdk1bkgo0nEuNTmzmzzPCpdXR7uNe1HcRD0yxpSZbqhe 6+VKz6DPkjh5XMk4sk3PtebuvdjwL9FtFffIUPuxpLPi2ZgOM4lw6l1J/Ip951yfsIzNalIdL6YG IriYClV/7nPqdBo3i9I1j5MykDUjfAn6Kcc3VriQJ/6A+pfm7uoQYaj3b1NokO4h/LAQIQuV0pAJ b++Lh56xQ6CmYb7vbDMfmd3qDXK4d3nMj9EJ0bk/wDEy4xL3qTGtfzDTvcXajoQpjX1PfC+7vBpM /vjOHLeh+rXckH4MN9WG7ES+V3jgioyWRSCQHz2PJzWd0EPDsJ39ayYt38Jg4tqVgtCMJkQFyBd5 yB0DtmfmFzOEzL61VjDOSgEkbOrfery+ZExznYdIa+BiUBTsQE822V+bevjcGiX0RGG9vO0rsGvs em8giOdl0L6wuxm2vR9SH2F4qFgVHAoKrPllNKJNaEwb2gmlxHirf3xTrYBiSy9CGfY/89UaTIA0 7wPHAErlG4jXABolsQXS7B9KWqaxUV6FElfgTZMHp8WD3EAPNLIBwpZSNWX7gW+gwuyTSRyZ7F5r pBaSDlj2G9dCopjrb/+qKgFFOMHaL2+KksYdJCiy0tnpDhyvqruH+0se4jCb15Oe0QDUP3+xLOFq 2bgnyxqfM24QgEkiHCPCXa51Vaq8IRo4qdUgkKroYz+YfavD91jnt3p4v23OHGzjP6EnI5QHYc+u QI8TbjdEU5s0w3q2UPJ/YZraabRGj5ZsbtRinuEvaOCfdT33ur5buCRIqIMVmpBEM06KphRr8yki HsG1KPB8/SsAvw9pHbm8qvBCs1lBuylvMJF22BnEyyevHIgpbbituuI/2Dz+YleHY89tkeb0GJUT iVXy6/LGO0fXfAOqG0ut/x8K8+8TJiRA1fnuoZLh6l60ueArkZN+cGEZzgT5YnttXxbg+Zwoi/UA q435/zFEuJYAzK1bJIQuuVY0L75kkOLpCfGO2PO8iFMT9MwLYBzM1SkaMXQcFrdjM/Ya4sZlAmlI TD5W0WromlpoDoIXwR2Q078GllnlqzglF9vp8xmkP9SUNJtzF5KDoCmA9aCCcASBkIO52d+MDBD8 01MMGjFhJk7CaE74x+2CWBgrrM+Nd9PFgE2MEI8k82+6W2jO6gcY1bP7xB7At1sJi2CPQvkvu7AQ tVrYvkCKI6khM/Ys1/x4yzu7IkibSLSoFkJVlLdS83vj8N6n4fc9q+U7oM8MkQiIumWmqCWvcw4T lsejmvk1+uNtTmN2fjrbrXxGmpicTa1cm3ELSWPrx/RgxAW2FCMFHUBUDXO5caUjwNo8FDu+RCZ4 XdR4w0W+OjaPb1EekKR7/wWn9wiz3q4FAXrU4zaBTcx9DTA+RWiKFX7B3YA6Yga26IVb2C5TXw8X NKHboH5grTRxsDOmle5e3wgkC1jlwolX9cPDo7UYV2Wrc+xChl1FoFBYOiqyBrjFJ8LpG+We8zkT JdtzjbbICIzkwH1U0xDxLwOny44KI9a5USTOq+iEOGOl+b9lzszxp53pU5A48SaY+Rh/JosumpPR GqJqB+sK62bEr8Yo+UlrOoNfn++7FjFtwsS/SpXduNit+jkpeh5r0oSC+CjXZhqOPtzIfxz1ISBp VEhc3EH02il0WRWWHmJf7lwTAfh9SZtxZgY2oM5M6+2nJWqh98LCpWndKfdk5av6p0CgriVivFOK TBn6RiWPn+2q5c3LgkwYiAjCbxDqIUEjcOCarJ8QQCf7QE67ehfMXBpOJ8Yd0d05jcWbEgpYUQHJ Y0C+U2z8Mhye4145lm8SO/XdUAFCAkIAFR4Xx39MXrs+UoS2HB7IyW6mUaHSPXJV4MA7NL/gSX6E Ro+7N2byh//nNVAOGdFhHHi7L8l62LKGmUYIElMsRYwqDn+0bcHi69voKc4GKchTrdgYMpJ+vQ5h CgYOAaNmmx6igLdfXkScUkOKIFDOj9tvP4HbIr0x7A1y8S/tFgjH5BO/KF9XY2eoVWa6zKWGBvCi A4h2e9gV1YihVNBnRACni32ewVDzNhL2JK4h1AR3wiKp5Pgi7hCOnvTW1zGYwZvzOCIvLY9DtyRR 5Wh3WgpB53SHbVZDEs+E1/85PsMKdWhuhVBUc6qpmY9mstheOU0xu6rS6mk805NBhnT2TjPHhYYe NTWGj7d3qu79FAoI3eZ1sBOYKLRnrMAvj8ZxAFD5M1DTbh7WzWyEpZdYqYrhu08laokw5MQ/Y/tG eQMfMAa6iYTEAFQJgQHTfb3UiJD/SbkzMA+Vn3jYq3V9COyJ8I9DvZvkLZGdmEbe5kw+vVT3jFpf BZJFdOzX1iynr6WuvJlMomXcfmdujKMZTpnWbCDnDLXwIqgvMO61QdxzsS+r+VuLH+RrnEho9B9i m/DWjtORS/0nvWj3AxaluXhWc0B3k9rksaFNZ78Vg5WnRMCdLcMbWEX7NkQjCk3SWX+a01RbKA1f wsJuKwXQC9WOH4UFwVzIakaemdqd4AccZdjEYQGDb0irUww1rpSmoeqvRvMeVJXaKI2jPZM6n4NN XWx1ktGMXelnpomgWYnL2hJPBiSBBFhMDfsSfOc8bi1kQNC2hElogSmEWol10dH+tEyptYhEGY4l 4+E8V1ZESII7rcKbjnZ5C/6TxKz5A/MJNgGXojb5H9CRxWJ5weOpT31WKH9uYnlTbYSea9pDbx21 2+gQ8a3is8QTnE387RM6UvJjqQsm1Dp+5IWZ9w+wilvmA9XHG2YY2oxjq5nhrwQjH8jt3ZEacvxs tbK47pfZUKnAIc+r5qRnjbv0POKUzr5FcDVTrmmIaxlRxfhA3F3PK5SKEDdcfQDFiYexXNd0EwP/ 6AOStBScbPl3RKa38gM+qgD06WhtP7QW3BNssbJOBRbxhnFC8LfWj5qH10CaJyOjhTb156rIzNlc I5I/CSFYSai7zMc6O1e/SUPZeBALDR4+o6KA3VGCE76wx+T9/MWgu/WC9dIoJGWX6YB3823jtMJY HHr/PPjfQ7YpHvgIFi1U2s73KpfnRdSmJ2BiRxEz6Zl6yAtPdKauOxY1NO3tHmJFFtuCBElVIqfZ 3zLnOtn7KCnWsS13Lv3uuMzbDBrSN9v3+qiHOnQcAPA9g42ywioCRxnXH7hPsXOR0nuOJ0TjAWob tgUHYyBwdUAIWzgAUCJkI6Yd1gjPw1t43meNHl9YQqjLdvIwdR/70q9ry6HYrXyH4QRbeyoivu4j 9RgIpEkc61ho14WitiTXdH+LACvf2H72luWZwNgFmL4p6Sk+mDSUC86ipOCvFhMnBcu8M2w1zTKv fstAM9z4hE3Bz+ZvKk+7pnXASdZXsqkwKf9xScqfP1jpApz5Iw/lFUW/ThUV2AjjYTHRS8s5CIW0 vDOutgssepGbQzp44LyO28LOu+asEr8Zmfv5XE//4gLTgnZWm7Vhfcc+vuXqj2FvIokCTWTjyDMu PVdMxi8bC1mhzhYbdK9mxGPPgyGss3Gq7ZXiE6NZPUMZSmJ08k3JbPgle0+CU8psqX3WPDBrRj8I 7V9lHk7twluS8irInPc/sMDq9JtvLZk5v3/VMTSc6Cq4kftRCrh4gtYv7nI4QlEW3fbAF9dYe9mE Jvu7PBn1hGg/fz3IsdJ+DS3JfsG0j8HQL8J5IH+sg2trvIkbmYHMufXl3JpzwsXa1fjfMQ+R9coO J2e9CFCNSpKHXOBQXlrq4atWLpwdToeG2oCD+sqkEC/6UqQvBxlRJZ5ST7VLEnHJqTSje8Ry2aju +V8eV9YY/30TpN9eh9TgdY/dpFQrwtxsPzP5LVXkiyU4Be5ATIayHyeOXi8CpyXR8NoU9A5s4qvt A+pOcTHWed3NHFkABe50C7Y4UqbDIg5niZgHixNJOD61mg2+IEDUEDpKVh1RVqMxjKYJPEK59XTh 2msOt2h5q6Jo3WiHRXS4j35Wvao3NtEasTSXd8GjSB1IFehORDw4JibR2evczynOBUjFC25ULZsC +TKVBdMD/wP8mQbg6LYMZeBulzRDX/4D24tI/T0quhyB3BcGA/yp/Egn8iBL+j4zEhoY2wbhgdaj V+oUcNXS6II9JBOBZK3DBdpQp8myFHjxvzNSmsAM3QGtJf2Y+4Y4Qso/S+f3f8gmkqxTPT4LVuvm CEuGdrtXuGTZcrfo3F1UpNO79I0SH15KGAiV0rJGf8zueeNzDv5Xo16VgYUYoBmJVPDrAhCKKt9h 9Mqd9vqwLlNcp/akYKgopzi2JMazDSRrvxuy4WSevWyZu9KVjTkzmK+a5WuNtvNpZkKd33pq4EM0 XwHywd7AtMZP8sRBmiUH2xYYdeAkLj4ShGIfTJBDV2h/sFAMQnpApR6YZfmNJqxJm9CrqpevHZdY YXw3PfYnC1KrFd49GncHo9wsNvygTHVFK4zC9AH5yeSObqitaDfT7kdPOw1wiRr+RdKDRGtif25z O5roPU5TMnUitmKhseNEDl4e0FjBJX/0k1T1SlXGvhck470JnfIrvlaZu2eheVvBJXemi+BNThft +t4nHUQQH81nyNn3zalNwvil0qyaqrxGTrQMBThs1E/mIEG6gstTEqTgrqT5PgSdCwniqD2L3wd+ wtpKjbkIHoa89PWwyPpzn6iaLBkMndu9dJFUHfQkqigg+XXQDzsE8fNmeMZYUsECTz4P7DmmTdbf 2bEoXS8CrLo9OQWtkWNbhfy9GaVLDmvMtxkZvCeZvQdFUg2uRJRIEO8dNaTQxpHVA0o5okCAeCt1 yw90esdfU2yKlf6tjLs2/te/62RkwpyD1pCslzDZMCnDl4g0dyvSU6fWoTsxMnRYK5rfvg0qDbOr CLCrMCBQk8Zr4N00Tt1yt3UFzXKMzNO/WpRIZF0GpGvwYjD6kOQCSX4TgnyZI3gEcjGlSdAmvJ6Z 32Sagaza928dKe5jvHSwQNU8nPkHRAfPAKHQhGlGORvZii3rIUEygajCOuFOLtLnRtpFUIfzDKT+ h7lj2FwUnJ+3oEYHPtJvX131eTiLFcLvd0N5IhQJ1qUCa0zdwBmJe1daIYrRKTzqJzOew7hxXWHj ykcOnx7x9isKkD6qvaXTcaogAWNSWCx5GSTVpgpvL6+COJvTXExu/l9/6/sbPWfqhbJqG0x864c+ SLba2o+VRHgoAWaFeAPeEePsOBshW5aJdMZGjpOlM144SfXshkY0HS3kVkV0FBbpcK9dGl1rjBhj mjwxshINs1pJInQVDHPuvZM7//tfRO8XRDqb12374kaLBvHhBiOTuew3SzhYlIE9MZvExUyCg2zl utcxfK56vBNNzcrcZxFlVvkp13oDG+ORVcRZ29xIh2PF0WOL2rFzdH2CrfTYJZyKwlbizUt/Yozg VZMmdTyOXizaFE63rFQUOvhqiY1QavBlJeqdcQjxRYPvhFryje8VDhe8hG6aL8T1E4cM9by9KRZw J6oeb+HxsFFGdYdJHeEINwEtTNSLmO3JgwGPkTO3sK+o5352ObQQtt92BZglrj7Qz3drJJbuxe3B fEGSu/lPSlV/nC0JHZynj+39fBY7J9NRsbU6dMfiOzwFnuCzqiRJEY42P9GZYhOQERFq4vd9bxGS wij8G59xhuItYwfKoe1AetpnMHlcjNqhaR9mMjQXnokYJi11B7jMbcjm+BIEP/ZHBL5ZOmVRZfuy RoYCyI+lzH0bpTv3oEk356jiHpZl05gcimF2e88fon/zewDrSkjVSUJPwde4JbpabXhjKNxTlIx5 05a5UJZ8aw9OuoFe/Vg5nbR7UEvT87tclnPKsbhJE2rg2Y/v1mUGyH4DDn9v58Yd4jybBx8vOuPT mp28PBRMvdk1rYg/2RBlKn8gnNdR7egtCKzBFvHAQdnAl4eQMaIZ85LSavf5VJFUHz9NVtjYceBA HRwhhSOuVMsm2PJyzu7TgMns51m4+o+xmBX5vAijuaqmCQ7X1q9XhPcw0n8GS60lgDy2CdafQ8VB BrI8NavPtq086/uTLaMxn7EWFsXZo9j4wLar9KEYYurGxPyuV4vLA0w+xTHJ8uiRzJRSENRHMFaM BD0yJpbD9qK45/SH9J63rvtusRzFZd4uLtWeZIQcX7/q032hldPcGPgIstVfdSNORaJOlYge2NPt QglMegRRpqmnf7PKmZ5Ery5W0gJF1mdfpnmNKOt43d0z8uGuHEM5CDDhlcIWP9yz/O2cpwNTDJmh 2g2xN8cwV3VfRfCRJPH7/uDZqDORc/02+7snc5pCNBSZCHIDWgW0b6ywWuDzypwSXCbcEXPH9cOL IRXg/RtOAeJrsf/O06CXGudcIJDNi7uMhu1mcCLpjI1SmFjbEGtWI0buhMzd6088ZP9RmLPqoXx9 RK1CykaQ56yWW9oSA/HzBOgAlwLhhNDQgmGsdy+5gYCZfpnPvWWVSxyysJru7z6uJwnVNmeBfjC8 xt24tQBA34GRjW1H1LUtvkHdHxGw9Pvd+rYZKeWDhx8TW7/E7UivXzT+ISgVzZU5GgcBIND/2X46 SXuTt5hjvGZHmKkbpqUJkjB9I8RqEbHZbTk4X781h2luPlRIPVkasPJXTkA5hSytHR5sPN49PaS+ ldp5h0Kogh8OSx37tON7AUTULkAVG7XLpUaHOWk9ITbHf3TvxoZY+ixWIGX8k9fMy6Y1wPkCNZc0 nqrt005YNN0tdzXdcjRwh+salQRfVB60uU5p9m2deRw8jTlzfVsZXaKL8AT76qzomuh+3gI7gghZ K0vnDGNWZE8ckDiJJE3vCUSQPpbRbqFYDNDpfBPwsIrvQEg9mZGb7BrA05/MChoJ962Lnr4c2DhI EW0eVIaKS6d66Zkl+nJhtH0X7HKaDX3Okzoc7i9vG2UHTFuHqYiKjmhfNsYgAV6zqnDHcWo5k5Xz ln0en2CLv0AmeoRWVX75W2lZtYoI/7tJwwa7TKLoR42jvTy7rzBHZ7O6+xLIIdp6eIyVlsWGQa0P c6XZemPnIpUQiki9GsqxfcnlUOEtKiZHItbPSaWQbwbHt9fD7OSYlO3YtvtkJOBcPowW8dFhT4vT 7iBJizaNLOZkIpP9CzvhPpP3m23ftWHOi8GvCXp/lQmx+9ioCTuKOSNLyV2WdZxCw5DUIjys4Trk KBTixXik2HZl0jLy2PIPPTlq2rcpehhSqdanKNaYdxbftdozNDhUzHY+7hWT2npti7pLHJz4mFC7 OerlT3lYHTf84hBZ5CbvPHu1ai8lwYcOI1CfFvWB02pNtbd7sWxN+O7Hn5im4XeLOZFEsOX/u7pt z/kbu3NOdiPBb2DDudwPwp+Imyvc3aKKh3L7vmwV7DV3jIKoGt0qOjEdFUowTSoqVVS1OSKyLV+d SdmEzMm+3DFm5Yh2FlMuwzWAGqJdoxHPk2TlOuHSrnt0kX5g2B31dXLV1IjmKa3cZmLxaaKu9XkO AD0AkQlvPs+KHGnrNshnqrqGj7cC95+fSeAqyeTQzh9XfTF2qf2cdlZsxC4y4tTyDa/PpN4TKi/l elJ3exLQ0r0cDCMsDhkQkm4WcF5XuY13ZQmiFhqmN4ORgrgmSXHcY3dD54wenCxCHdjjVciwve8F ZPJFOkmo4fylbVCRno7iIlhdGLAgyylz4QN+ig4aEnk7NoB7sKLmnZn6HF9o1BdTe95iG++G8FRc ZgLq+Kloeegpvc25tvojzYaTBg3et8lD8sh6J76lQyNWYPihPuTy1hekAmimD0dnCi0QS6EUBQCu FnPLnN4UDj0TiQvYswmpaL32NikrUmKGikEi7XA4qs0vmsuEoTIn2FqY3dTdwN6sbxLjsz1HVH16 D0FYpK6AzOtEMhjlAyhSZLg4pr10+gSaptVDkJ1jI+x/7RkaGOgN/976+19MvSpSQi/l+gKb9/GO 2oj5extO9zNfFe/u1vHaZsWfr+R90mX5hAkDJfPCcNZrkgSEzhZJZVRZvpysyAojUeT7BLeOgb4O 5TKbp9TBXC9xk0ZEdESxHnKfinMAl9cfLdI5w67jhaycbEaSDsfj8VasQBMCE4RvRzXJZBB7rtjN VTJVS27rzH5gx1fUG7eLLqh04tpUKn4JCEbwV9/TTxgvdZihNYlY2P2fSBkzyk/ZhVORcX+on8KR pR1K6BkVKAi5FCS+LTd3FNu3MkgEaoBjT3Ev0yj5SRjD7XM5FceYJuOJYxvS1R1Klvc9HDHWJONs Tx8lSqOYEKmLl5paZFnBNQ1w9czdCusjukA6VFimkYSpTvr5Bc9w9CM00SqKokU+cEto+zKia09F iw1ujOBJVEMPZ5txSyG7/cG3CN9FqIgPeMduRcLoYfzgFcmAoJGyUiwm+hJsuCnWHFGFrxWtiYl1 Apb0UDjaxIKEqdBGa+JkK7DikEWps4Vsm3rMm0m70bqL5BtpFoGngxTaSDXS1edzvcy3jqJrngLf 3ThjJfBCjh3yQSYIZqJiT4NmQ9t/o/yk9eqMCvTWYEDm8xMCWZdu8PYgKBCm2SKOZM5KOiGDcea+ Hf6kSyfoi3LOqr4t6g5gxdRV/qPkuMCHfVV7+Lxkve5ghwmK0X8xKakR9B1Zymxy4834PoRgyhxy g1H/obihfFBu7PTLflAsaBXH/ScTcWiryWbUvQTrXgTiqyNy2lhtAm+tzkw0p5ZkwUQ9yYP9CaDN qPPm2RyRGhA3aEgG8sRDt0sf0nWgXE8Hi1s/mPvzvgLw3NUHejLxd3o/RjXnrNZpejcT5Oo5kZBi D24079EbTCc4wA5iA8/dEL1lw7u4J8KCIP0Bxgj5g7+rDN6uVm87/LsilAk0lnBdxoslGAKlY9dM 9fRWpP+tb7TOU+Ik6dKU2u7ldEO32UVyIjFZNR1vds8rbf3btRolCD4ItXR1tDqDpVKcM8ahWc44 M6CpSvMHlivjuYsZ6T0ODV+5Ybk6eADFZYdiJkm4cEnlsTU2y3hK1+JrzUQ921uoMS430bfRRMjw BdEFbIAdB7buSS+fO0J5D5XScuYd7Uqfa3Fz6HO+uoD2a4vCICahcLgsz1rxPADjLygsiAYgC4T+ uXtGxqOk7z36yV7zbstZ8q8ujFojGsCStBv45LTEjvM2s/zi0COs0S4/CgHEBLJZOxqk+Bhe0ebr XhU3AZlJPFHiqZ29Q5MwCimkving5+9Ms9Do0L0tR4MNXWV7665INSOKCOs79TYa0qSxLDWb6ADB plVuWvo6W5B1oDKV0u52m9gazEz1MOneWug+gvV617DxiszZrCx9yv9YM0dyr2d5j6YnGKqWRQfT VIftPwaCfj+FX8Q1HalcRr4XOk+nfNNcm4UcXmUuS8K+JWnFbKkvOJroM7fBAuyMLkIaL6lKQWXw 9RZh5ws1zEd+K0wJlQKPtZD4kX01GBMV9hoIlBtI8E70WK/JxFdizVNyirgQY9Bz8M+be5NuwYOH tKQQFyMZYD+l/tTgBmwakfFyRdDAJPJbJWbPnhqs7aO8g8NZr7DTwZ3VX1punLttTItIsY/ErU82 ZtAJG7jXo2gRFSetQHy10hkhQC7xCJ0qpXWqcIdixSMeT4pcId0v33OhTYSmWMOfMd9mdNML4Smn NyhCzUxffLAzaUI1dHq3Mcr+kpvgyYyYpYNlnjOc/Ao+yimcDqT+wFQTDMGyxpqZXEtONuPo89/e PIb2cFd2fsU3QDr+qNeuSU/NP2dVluhJOdmciZa+T5uX2L/RS4Nn68FfZ4ycyK7zfZebXUAfld/K HK7sH2xeaHpws4DQWhjPTUf1y7VNvGyHhd9uuBKR7u1OJGNLoS74x502jb+6ObcQlylbUGiluc5m awFBls9tEvQA+TOxfVdrOEmmLtKHvwuM1IobyXwHETGt+ZQqffJA52eU9LQes5KGw4IReO1mW8SS 3Nqcj1tD1GKMPKKHqAosZjRufUoJoituYKZIL6PziBJkZ3zhtSJKmD9ZgnFbfzt7o3nwpbi8dK+s 0bIMBKZEeR74dPLVBo/lMViD3UYpNrSOWE3Brf/tQNBw1zFBxwNY0JsdAHGgWGNfiGh7Aob+L6Lj /sD3+ddj7nU4sWqLJkOyRWcXNGpy3VNxXotrW2nEkLdWupmkNx0yqXBlT4Egcjt6H2ZsRfWE6jYR xG4zF+1xPwvY+l+QFVSqSWXeGOUMbHkGJwMi2/sOS6Cb/P7khFqmXfid415u3xdDhZzOCkIhU792 zoxH+lVLm/7y+zqAiBmUdByPbZno8aO/TPaWCtZjsqCvKYhO1jVO6SV2JzoA9MOlIHl6DOGOFSTa PrD69pLu2CJgnoxa2PIgtna0eIyQ/LWtBmyQxKO0f/QqlRf6vTV2e8IetHbcQOHqpfXXNz+WKike 7W3hLf7/JE5hs8ZAonYKhGjphJuGQc4xVC6pSzMFBypA8OxtNLSHG0yzB3mqjY+uSnhHayE8a9c9 IRuHy0vYyVXZay3otN01hLgz1fXKD41HLeW1NEZErivL7peK4N0xTopS6PEvaO7LcL9vr9RNR37B /SjTuuCy3wmHSzNiH3JfukO6UPlgtipxsNMzGx/WzwfYVm7cZqK5oiQ56IY6Mo4nTe7VwnSAG6uN dFAhWlF/KMXYAGsf/rnZioHpIcb6XBrlK0VcpkxW45ok9Ea8IQOlqJLV3NEMA8Tt0jviLrfL/9+L uVvjz222zk2jkTnxJ76pwGALccUz63Jm36EXt+KZAhXRYrJH2t3mYMjHkJpLgFb6z5qBxK+GLy2u /Fq1PZJGr1Sjgf6IPPDNghqZ6NemC3uF0DmXGF+1J7n+8RJ886uRGYSKjtYSzFlK1obRt49zOK0L ciYBB5fYBI8LFuAlAiuxMjjqqYACGi9jCbuDwf2k4XvmJ3hN8AwR+oHJJtDllZuuPmQA4MaRdz9g 3tzM9Ox3FFuzER5FnWaA6+AVK/4DDmwinWcmH6zxP2BTbFfz4q3KN2FmnzEc0vlzkz1cNN6mMNO6 V8YC8cl+udhBCOYr4UWFomZewpv3o7TUWXnkW9eMMm89H8F57hb4ai0NoKXNHcOqL2pXSBZ1AbOa OwNGgDHNCauYTuqwDS3S0fikJG0p7Jz3vG9oTgdQx0Ck4OluEnV/HemfTO9FKKxJttoBAZ3D01Zr 6Gq24liqalzKdQiDIJ1bR+tjx/xN8Qzhm3RJYrOQSydXlXZwiHjh6dPwtKq7EhJEWDKGlqOnu6vt IvYPg9xyMcnJN64J8NqiP1X6FZBTIbJ5Guta9DJgrlS0k0A+uTfGFFKvogA6NhZc9BSEBsWJnp66 2FihBZW7bQbfh5R8Qt3euFHc5+Gh4DCLmAqarynB+lt9vLKkBOr/fWmWKFjg8RoxDRGb3tat4OQx ogzWV8jwCPjnEGfD3mHNLXJDgrqID4U1eceKE8t3B14ooB5LvRhCGajIoSlCmp/b19mDQglQBtic iiVEysRbOZhEfXjNF6GUMwazPFPdXjvljTS8s1rUFeRx1SeFuAQnCvDBHKBcKg45kifsXM9Btk0/ AMxgxJgl0Xn0fKij3x1MV7LE5BtVuIxo+vV6DNjRHsx8nGjQJJvatVGlTj7qgfxKRA6C5cBxRFuO f6m/oKzXAWZroiK4yRLCwvNbFcgFMUsa0LiEbCOMHwfW+MXzQXElxUfE4ITtZkb6Uu+cKYHOAT/q Vur4yjbt5vrvF/MHu75y+7jkiiTvrE2jFnenTADmsvs86Jb1iSIdW/dp1vXjSd88Q6xbyjeCvlUC w+1N9KgsZIW4BaBke/CV8TWO9u1QAiR8ouVZyS0L4y9uFXIjfbYDbgz9Ml6PpjkykqZizi+cglQP Lx+yOKuSN1EeXOQtbQ5Lav5jW32f/7VggH8RX6ZTDsFuL89khenH1Wt1soOk833/ZCdeM7vANxcW gBKquP4oNixqpfPl/+W9XbhruHGT1lWlFfWotPIFmXxkn98ZC1G0wK1nqkWQfNOWvK2nGaQGRqtD hWms3+oYKxD0mHVaH5C+EJXwtXxg+frYsUhC96MDB+ZLDv+thUd8YZ5KMVqNbRmhX38MkeyYqrQ7 Ko+QksZmYnE0JRrqZXd8zKWvigrG7QTi7ew5q8UoatJYgA0pmL9kLBbl7roKFDQ/0gdy6zbpEewY wXy6/5mnsJ2k0Z2ziQC3a4PWH4LLFfydDrdMNqmj4DkVoMzoqaACdkjHDknNFzjjVJM8t6uJwcOK pHcPSu13CBg41tPnvSWEyEWJMzRTibsdQUVgTx9n2E+AwoQnqLZtfH3ha3NzgBqu60KFUxkMdBte TVKIHnip/Dygikjy7molEwDSQ6wBn/gFq3vw724mw3q46gqdR7hkLM1KnrXf7nCcPpFvyj0jd9/g AFLxzDLrDPOjIZVrDj316qva8Ac/dwlw2tRlcS6kBUdJraFZOJ3hr5vR4rv7ScaAWTkvxo4k/6pm EV3a3ETRKjuUnCJRNSntLBUFYrBoW3NPW9B+XTlFqwTf5eoAxkRiu3gCtIw6CRSdVdIf8bqgjIOE Sb7HrN/+5GKEwXb/3ktxQ92VtHS2fvRmz/isXEttDKir2Qqu5y1gdlaiqeAhosSyX1YPWJrMfVgd kCR9IadU4J10Ol3XArz3zFqSmDYhizSFKdG0nb3Rasva2sPG19hRa/GPgOKNO6rI3iyt1zTT2yJN xRLco0vOx30OMxs3QJmZZi4sMWUbkxcmCoExA469iJO9BPNhZMg58xR6NLDwY0Z1X+joodpQRMRZ mjGeplgZuD0udIL7pnZOlJWxn1LMI65OD+z+8EchrFYoedPjU333/GS7bw77oRgTpZIGolaFXkPq 2KoIodBA52+pYW9GBUziVcVvRMjpp9iYDs3JJXyG6VlYh+8sJStTsF3lUK8pm8Qom14Xv6xbLI3f oZriXCMA0jo98A6hTdR9hpYA+/ZRDSFmcd6vzzXp4MDQXOTRmZ+Ghn7Szy+8Et+YIj3GV6pSLuNZ 9jn6LRTopZEZ7BM37PE8a+O9tFkbeAo5fOQw0gmat/1GKn4IKLuk+ZzqBoFR5yY9cMQ0k5wH9uK7 xOus4D9BD1MpbINtcBzzLcUqh2NVCe+Rj0Bx6OTaODc2LoSeRrHuu6WLD7LaN0+gXjJGMHWTXNXT vuvOXyNExXnIAaCk3A/X0X1RcGWiZaMJAXz1FKSp7qX6pg9wDEifhpYpg+Xlc95MjObd69FOLysB g8AHheVhXVYXBhcD+1Z8Ttfvuf2sfZ8Dr0Gnaj12Yby2Hm8tvt+4AygqajI31LDW/LWcrXkw+skO IRnuxEjjMtKMV2S4cpkNf7aRkOnA/995bN2HyMQTS0g7OVnQyXGE7Sid85VZovQUgB+VcfZHXJ94 gX5TmYeSKZgoGdqXv44iZpqQ+MKjsv4X0y8JtF+KNZu4PsdMjVLnSivCWtn+KpTpy25OKIN+3u6H VbgEUM6sFeRUbMQNrba9tpYta5083XzxJD3aroJixhU/DnBrYilf2UsPAbUwqPTisuHBQYB/CxXY IaSvHSuPVgxMP/FtG80HoPnj+KXVZAlotd2Ny2YJjbO0HY3aFA8PHc+KpWiGq2+Hy4hsUUYkqOYa QgC6kQJtst39cZXCDWkqn+A0jUi8hewxEyXKMjenpUuUHd9H/sflENaz8dcEOo4gIh9lJH5yF8Dd njBygG/l6c6xro39TLeneRPnxhfjTM6EKHNeoWXgQtsHiClmGDQYXlmIYxYppAv4FDo9IEda9dUO PgTWqZhCbt8430VnDtofYl4i5mqRIT/6AKwIaBnwZDO6W4guau43tHDH/JKzohmVhkXLzOSQzthh PFKMP4aitriXrGABLLyoASHsxqtgnygxH5U4kP9gU56qghZVGtUOa3/kMzrk5ii/5Tvn0ySak5vL UI0JsylSHsXX07V2fpFGA2mbxLTkL+m8z7ymcvaU2gWaW76fDm9zukdrFc4l4mzALMCXl7jaf3GS j24XDkzjLZhzQ3nXnOzS8hNfuDgH5g3PhhDjx2gizCGopbDN7WC4xl3NWwTow+0sjp6intjauSD4 gBQ2EKfwgBB826BqF+vCkZS8TRIGYafPSU1XYpG5hxfW2ZRM4Ot/NmV85tbm4GN19tAA/0+vPwTq XDjgV0QBT7ZWRFzdfh4CmQLwTxzI/QzgjZdotBcNa3+gLx91o6HiwCEuI7LUW7/GXsZoDge1j2J9 +dPVal9aTKEKwHpe2OuXKWN46BnJZpUzyPc2oFCYoUCW8R3ni+5ubitJ0oW10fquSx0GaO8SlIvX mGYfyhrbIAM4NTK2y4YMbA64qA9Cc/KNBEqFrqGgO2ZTbDOgWCo/K0Yn1u2Zuemt9f8FAczlVfp4 RETrtCsVyV/OE8AtZzz91YQtCS2Dj9GAKEZF0M93/naXtJcaU6JdYmj0ld8NR3VFZz/SNcyMmapS GPBzKK3+O/V2SoJsuClKGlDvoqY05Ep6Scruur5YcWMMvk67EBomzAJLTcfOBe3YMZRZPu9lJKJZ ZSTpOFR0tbZaSfYi/fyasgS0K+yym6qLBoiSbnrXU+iJmcQbDNShyTSzX27k5ManabRPUhsa/xaS ULofOQ9lCfuG9ni6MkP4ZG9HhgldBc6Ccltss942YbQ7KtNlokihVdGlGzI0bDLFWUEgqhG+umN/ 1ELtb7ZvZ9HwEGnr+OX4W4JLmKfMEJJZGaxnpqB0IU4zrFs2E4eT7/yN4sW7GOFcQ6ybw6bat4DB +BHmeOn3rrg28HztjMODenveAeCs2vCEYoLACNawn5PrMiHZXoAV4jxB44rOxboIxP/kBSgbU70R /AKbZ2UDz8cODmfRY8CcyP4SjuiIt8X4JDWKQbEh/8/yHXKtGWLwass2x4jKEmbURoVDe3nGtGBM SBeMtzOMxlSPdMHW34ltJCdTlwXVvz+Ux2+5lQJlcaCYi5zI3LW6l7ixFJBRtOb5pyTCgrQby4uL 0sAv4pfqjzDTXN2dvUEjCC4ahBBlMUTxJ9886BI8IR8WAm6lJnYyyg4BWMde/CsKlLO3qpkwYweG iw/Q5l9PUaqwqhwkR1aEyLTXcjKuclBIKh+YVQ8i7ifBPe8ReNCKJ++3CrElgpWohttrnuowHPgo b1TvnbmoCBr5PrhIghSg89Ah+Kkv9sTCEtR5z4eW/a19eBLSwiAyDJdgcIwiK1q1fuu1yrOUaqiN aupxawvgai1eBAPAWE3cz2cuQ6nTi/7AwlkgG60IDf8b0tP+0gqYYlXIYn9oqRddpz6eyrv2lZSu Xuo8eZGnf9w8zyvo1oe38LxaZaEU4JDP6e8r9HDu7hq16azwFxUCBSy8WydtCc5FcomkIhPNR4tm 4Iq0oX/AcU0UQVA9wPh6/qnIS5NJ/k2JEjsyU++6rN/AuuXai9wzth76QYdkXbzJNCEuF6lklUUZ HYKV86jI2agG96bL+ASNhcyhpKIWua/Hn15JFBbSzsi3c648a0bQcdZoGbMu3JSjtLEPj0ZVdW0K BGxKdM0KTrwpdGNpdqfPsMyYwqnQmX5c3Cn3qL+Zb9BxHLkqFg90pLg4+12W0J2Lt7TLu+DJLmQ2 CaW+yJwUC8TQQLRr2VodP34dCEOwGcdYZETPct/9FDTHgFQcEKj3j0T6oDyXij2Q6k3YIhn3ZSeN /o0xKJSj+4JbmyMsUcjwl1b3sA92wDCN6+c9LYBLxs79a34MWkJ1JlI9EnGySc3UkfcjfZ9FSGNb R8LtbM2sH2vm1YtAEKQsQJbwPkR3uCRRYq5xU4SK0Fi/g/TzxpWf9+KfjrtwX0qvT9IGq5Dx+JXI zjjTW+hKx8d0xTa+v86/druORvF3GS4GL/t1+Q5rMkQ3osVbLqDxPwHD1tpvkWeY2pqd56GTsyOZ NOdhwqhcT1e/eqbsD3BMtvVod7m20FAQc+3v6KNgUsQ3qoXskjN7lxECx8WnqxlXc0rZNJBHeWw6 eamp57e5lIeePfIoIZiL69SzHviYvedgORDPDCZLMF71tYLoaRv5kTD9795i+lo3S7jkaDgaO2mg 4XHSt5W89KStN6eY8yBI0S5CdCs4vdOErm5HmbNo/G5GNpWpSKTAuGtQTTY5YbU098mb3d4/ELLR GoTsCGOKWyZ4Uq2gyocAYzKQocFyVclJ4yK79Z0zX72yyw3axF/J6ylPys34xugsYWHUD3O9sqhZ /N8sr9AkpLW3NVALWKMdEJ3yzF0gE38mooehDjtWyZo1+juYjjAy25PIO/UKDud87P/lIFSXpQrb DuqslB5t5XLYZZw7jzTxAl7c2HTd088mp+DH7ECuIN1i3Ys7bSb9d8Dr2iszBMAUeSLfv7tJAfJ/ zrIQyBp/4sl9dq4egZacvzp9xGlKEOZqEkxehQA6HiRSw+a5C7DoHybUCk930NmFT4s0hXczbG2m /uNYeUeYYbCoF0RJJzX5I1L61two/maLZUpOHvdN2VWCPCKTvNEUXqaxeg/HRPaLQvgYlhSR9YAF Mfy2nCMWenOZrXFeaz5WW7x5P8S11Nlo05Pqf55WOdWR8KUT+qc7Tbzq09y0LDxN/VKee4SxDduC hpeFYDU/qVxwflcOxNAGKc+Plwnywahp8AG/pQ1jGo8XggaCZBo8DjzeC0hqZ6b9qJU8BKymvC4W ++WIy5BfqA9XaeHApdIW+n5dkzr5VUxeLVG3uabikhm8TNVhvr4u1LqIEWXnNl4v82rh4us3K2Ys CF0BKCoOE44btKkj2A8zB1biPO31c+tfumcLRbAvv01u7zqGGgSwZnKGhqp+xr65muW4GDxnztUF Chsm8s3T37RRepo2rUp53GHIb98l3ByunlasUetyGQDPAf7QHYuhxhbuleWLsvH2QXWMoV1yshJl reY7rpb+HcQQ27HSeF+tyEgApP2lotFNasbGtBtN0yHf3Ar4irYH8dKsLMx1Cz1zlMagSDJzh4Hq sxf0WJnVS9ux/7C3RFxSFj4RPphE4ficW3mV9t+sbmD+Op7L0sC8AuErspL/jMsSnVChCOy2XTd3 CGYr41Hiuu3tjjDIReRMnh76glraPLxNAfOsXaLNBRES4L2BxjCY8KX4kwXoEv1Lf+WlhMx/m9CY qC+rHUsoJcp+CuwPkcJlIZAEwzama7wU/4jE7gsTiEu/ck9aI2spsdVDr0UvJD7tP7bLqX+5SCBq BK9UWdS6YPWOmY/+ZhCoL04dDRASQaEv0ukA1JxnjYkYgjQQxUFkeWYDFrXn+MKgofHS86oE9IKA 8hlEQoACz+yfq/BF2pxGjzzmV7wflGE/yNyVyzsK2Jyepglt7/IA8eaZliYfPjttKgWo2Oh0PVkP O/9fc2+HJp8ODp0242tMlTDceDPVhSB0XPnkBC7MzlNHuEeBBQyFSMnCK02uQLkbpnSK+DyhQ2r0 ZCMjkedVGk+97/HCtqsmuD/FM/UgMFCEspnRYcYGhRJpsMWQVQcR0lRUWqW8tR8K2HbB/NP9mICe jYZhwh++oC5609hqLX8xiJ5Y8bvLi2+DwsszkSrb2OBloae9KohdQtXa8UEecvwj4mwQ8gik6TwB df0e1pD2aFe446mjSWUKpXI5FiHxsXv11lVBKSZG06C9UNO3swK7O3mMQ/nNCp52q8cWRifU7lEJ wngUimIaX5PPcUbuF8Lwjlctr10On7U9Ej46NxdiyxglyMpvJFII63uDsjDM8AG5gNMnyOW9cgJF 3l7MZMRpBDgMn80rMiiVQQbIgcLFZXmPLwPQb3IVGTMybve1xEQZGnTf9r5v5m3dh7xInUYtzehx 4Fnd6kMhKHevKiS+4wgQL8D4AxwI8cDfSUyq0wo/wCdVPxFFlf07XEii8V8QYyObrRxcV6b6DvLC 5/JnzoGEQqvhhnbcnz3gw9Y0Ki/DIG4Ev7fP+a/u7mUcufXfkynsJ2Kca5QG319oK2ohjYYYvw7o 6kqQ+og4e+kXvXhBiBHsZ70FJ2oTk/TBsReBJaKUVyYKpI/qHXO5pJeDX87rrvuoSIK0e4KTspj7 FT9iIQ3SHJXHQFauRKTc+f90wBfZKnUKy15x4sSfvjmELblTlg/fOPO7p7QF+m9UejnIVWfPJRHO BMPOFNwgoDNJbaErIFZwbMZv5rQl633C2aVrocEZKALVmF5A+9od6PI7ObugAF28C/FMBaEosGgj Vr8vvNE3ArnsrCq5mRdN8IbHwZ0Cb8OzU+3/bFjej2niZTYIxAMk05+KaiAIc3+6sceLBAaO7tSp 4rtiZOHnjOONb001VRqDpfehnIAKmCJwSTS3Y+RnD9CavG6v0pGBx7tBR91cbS9xE3UZbAyvd04n p3WX3jWfnw6mJgEfZ8VRrw1aYuRWYHFFTvrCnbMcAlRPZYOt5QCSAXoc5uB2JYGtp1Qa0QER20HV DQgqYWUOorYpx91nQjYjMowShzDv+kOflDp9HPrmVaDYyTCGqBK7CXeIW3yeOmnFMbTy4OuQD6WL L8hpRvs6LSdqdS1aaA501d4z2VmJd5LsTBETAS1Wej6ZU276vuOUBLQmkcYJ3iOrLUVjfzry5E3X rMOcBCZFrhyqbx+oEJ0b4afgYa1Ti++Vn3LSfEB+ympS3eOhjqiLrzVXhQW7swo6NBRyM+OFbEwe WsAp5e5BFX/zKgH5ucVOcTP7/cYClDobohbfSy+7Uri007yrbsB+/Momsq7lyub6O8MT2MQ41B2k tc70aaScTM/NjfnTa05XtNXBLVgpfNBKtudLz1QztnP/ZWb2fgNhybDbp3Db/fRsyCyjEag/QmIN tLdYMZntTHFfPWF3Ns542t1JxoBfgKBKF6MOZ9GgSiHunEc/FD3WBugqm77ktMbuGdXElTqKtxar NuwkIij70yIado1+mVMxW3rL0VJ2iabP4z6dLa8sZb2rgdiRPFqXITIzK03Tq+Sin7raL30Izl2K nRU7iFIdSkb5jQeozWoQyjbvxj9EMyX9Ppklzsz3Mrw6ar3Xb9igUErtUhfRgnqLa7twdIDaEAhE 8NeKCI3kPHUHTrz7BZ07ETfgA7WxVdJQWyNqw1QKPSK9lalf28YdMkKwHaHR0OrSoQOnQ1qER//h XGDzDsASNRFCDRs7z+q3U+gF+IEuwvTskDCUaz6HPlUncCZxbEp0KQxbaRnk9ppuH7s44lizmrKv fvQ5yxOrhzsks4sgsypOmytpgtT80pi4eU1PCQTWt9u1zsWOUdvMB/3F/y/bvmvuGI5Zytfyqlz4 ZXP24ZT6SAP30D6XRpdXXv0FR5Ub9qkjQ29985DLckttCnEvgC2rI9Jh/YonfSoFS6qdKtvPXkHj oCTnF2YihD2gTZVHm23nJqfLPtmoVYedomT4N+6BUODaJ45kYoXegGIiIFSmc/eOR9nhaRwz37NG 6dvux6gyv+5KGXN9SwpdxrFhdl/skZw35R+lXcvs09DCcdCB+uc+AaNc7azm0hWAijM+UC0Dfjpd 9XhrPuErwQyxfybES+HYh4w5QZYopwow6p1mHRtP7bazzhoLHvUip7a3dt9fXDdXfST1v1P2oBQn ahcTYtRxtaOGA085nLenZkdizay2qBSIp39Xo3RGqUQSqfmVuCJvnv649br+0Uwz5E+dTJh2j6+Z CRCNHJHx3UYSqpq/tYHbAzLTYAbAInLszZ0sznZNToDipI45OHIEgrj6ErCwfpQDavjfheMQzCxQ VSoOU1rkNnXsRCPcHer/FrBhOU9zssxk5nHmRAD4Efu62LuXA0kB4XvMJSQvZaNbJeQmXVkYELsZ Q1Ug91MRIITSqf+zh5tMNa8Xmm9nw3ZEP2fG5pu+IWN2HzDTeJNwbU0v6VdsiALOUEB7Z8fp87d3 /1kz6PajhbxSdYOTmjIF530MGu26b0hRaxWtWYWvazDNzLHpRhHC1um+6uKojfs7JTt94cvj9Rf2 ajub+jcl7K+2nuud/4fXHpUTbTuseaGgNxdfLEzWkPkCqRHkNBnwOzhm+BtLmhC5ztNZCvIiNbJN EqCzwZwBJn+L5gCG+40DCej/Bes115gnpiXVAYAQNl0XlxlbYtMdX2DFfiGkR9byihhVM/NsNXOr BKqXbfmN/uYus/XxtUqkP5xbvxAdpy6y9widzVZu2t+ceUyxw1n0Q1IOT5PGMPvWmpGvE2qRHAj4 uR30gaxRfpGduS3ZAd1d0hXLSrSzmFQTIA0OgUwi8W/uolRjMMg2B5tK/PkngNkJ3iuyVzvopC7r uwCuiLTH052nAY4JRUr/UHfqbYRCbHd9QNU3mduWHUTtc8US/uohbR4XneStRBLGXAttIuVogEa8 vKnyV3wl9xHo+9b90tMZpsqR8se8CwarleZBdtLkwR57bGf0OoJWnZLUNycTTJnOvZZqQ8ynaInY 2puhsyWvlL0+TEUFMtVFb40ruLeGNwBBLmNTLiQ1qw02ioDBpEXWvMiPuRpcczJGgqNH42fvVD98 7d3NXjFijuIN229f/40WgThwe+Wd79O52ovJZp5FoOk5j6U3PQHEc6Z5xqSZ9DkszrUc4ieY9j2K E1nh0F8xg7w6zXcCvzKcjRBnU1fstWFVmEB6Dp/cquIlhJPwB/kFDBkOi4ZynfBSUwP/1W5iIF7b NelgBctrAqMMQvCv0fsnA6B14fzxCUlLOHj34WTDjaifTVBlVg5ZEjFN2zU5WaryG3QeqTyJlt7Y 0ZOs+H8W9qxucOPw4GhYn/WZVM85ufnf2PdLRp84waJAYWoI+YGEPnoMzLplotjrqcg8A4VWuSDt cB54o5bFyutLJjLt/5eHzbllXDSd409VCmKSOiRA24tEQVwHjehTZEVPrVqR3mlM7IMIdi6WGN9F Ra9jOmC3r8c+PuvOK7BC89ABDjj/+ic6zY+JlpTwL5G/StWUpn3md4eGT5YO0SybG58TTs52nYDb WrdlYXZWvyEiO278sWK6kf/oM8lGCTsAuY6S81TMYoF4q6zktWHU0L+W88rAva6qzs8LYyZxq2FA Rdl69u5E3zJ92aSXbfjcXoy7EwKb2dI2/oR9NQiEqfWKkT88p2LFSsPwR/5SK97v9ducgXogWgkh 5hZuv6MgHXmZ8sUW3i5Cg5juyiQtpM2XGvOx1BK4EVvMzwZzhPqe8qxso8mR3fLh2FUq7FSEeFC4 EhLu+Ga1VTjc0oWj9ph8bKTqEY7t09aDd8/hsKu5skshx3RIydzMSbIE5/bfsLtqehcTRfd9nPGs voR46eYvMFJxJzcOf4fwT5CBBCeVTscQDayh059XGGUveAzQcia860t8LAuEYc1Vjf4Ij8VSy/0o ryK/xDdiGJBbXrTzMUHZMPSyXCrOQyUeZW7AVjJFqIY+pyzTKfL46yQpNn+DUPGt8b0EPD/xqs9f V6O+ezC1sVJG4MnFfJ6TpZk5h+h2XPq+SXSDWFtqZFDBK847u6G8rtxnTSwBJrh5XTSfrIRxPAtG +zLmFdt0N3vYEKxRWxFq7bAmZrQS0DgHsLEkTofHA1/dNSZg8AtaLuMfBaTaeaTQI5uieM72za0S FWwZE1q40t+nxrpLX6xMq1mrWSSHrKH6CreRepkdwDP3I2KrAzJ/cvdbJxRvSC8X8EHyiyWnulw5 T2l+jOWLoWuKfu17BOnZgvAJqxasuvWi+vVAznYS8lshBKtBEid7BIXScDlinLFFDlC1zyP8jqWv Ae9s+Bb6Ap45kfLsf7NE3kYufvotjOAnIp8ngDuu/GKa5G3M5bW6E7vKSTEX2hKNhvbEc1S3Cqld uA59j7XbHdkuoLX5j/WHXvetH73nJT5PhFeTu0AAufTwrc3rcAEURq8uh5UmEgyn0TiJRg50HqtH wf9vqJO3EzpTkBsMx09tbcfYxeC/naTJuP/tE6s2H2cQ7872AI8zaxKb4LVnI89m0jPS0KhNq/Tw 8olGyhJ7nhyn5ZyIQQtXESs89lUpgBHkzAn46NPjGOspgexjjDA14WQzLIqNcgu35c6vUYKkeMfR F057c7Zptrv4DDc6rCWv0PPYVYbLVv9uBBtVB6uise/RmZyR4cgRTR/ln65tXBX2s3kKDesa9Q6j Xz4fQSLIgH31f1nO9snd08gyEJIcrMT8vr09XmyhDNCeo9rQJ5rVQt2gijFAkawWOQYzTwnrtf0c 2/WTT96Ab8sEk5FpWKHU21VEG3dZoIx+G0FqgdJkONn+oM/23V8DzTjfBTH9atK8cFI2VI/4vHAR ywrlp+j31mEdENVYtFODR461Ttt45ST84+Wg1cBL0HIUHvMYwJAH4Xga92m2j4Tt7Y95QsB9QWZS eKzPv/kmmPWs1MM724DFwwPGuuZasHUXFWzjW3o5kj07GFnAHtKYflY+ScjzCxANz0VbHmp41El0 cmXCrTFeLdCj4eTBOejXv52mZOLLqub8tOMHbYWcVhg7kkQEEvyXqzPCm26hfP3tOlHuxkvv201F 3ipSanPOGORRn9Gsiwo9JIaf2i4nCdtZxi82Aih6w6WS1RtkvlcGbm7M8wVd5R0REqRxUCYE1pmI yO0sQEnLsR30W+QUknIVslAa6bE165sn1tzt3vgCEvQMgoN4QucCFiCCMHjN9NSph1+ou0WmiObK UFox/FgTRHxCJXr3jHrKVvLs0q3BgVebmAQYesJYlc8OjhSnVtDapx+axXa3nasVPZMDxU4VMJVX Cbko+TZvsu/vcJmLepWiABt2zdch0+vKfh3j4Wxcm6UzZINWVwYgo1Lhcb7/fEOtIcV+KGsBTdf4 qMvOky/oOKpjx9LUaoShCaNOERKFSKysp5++Y2AKnN1Zj+IrRFtDAMjC+mQd7K1SwhVtx7cZ4JhM RnPho0ZLQNWaCe05MlNcYpVN5haItf7trd5AOgtmFnTIBFgZDYzYTtTcx6pPiIf0wlW/EAaPvuF1 B/CQh9YDCWGPElxYHSSyl+Ebbbi6soQzgX03UOqrfB0SLuCRrK9/nrJHXRUlQ6AUCSLfFfRuXeJa yaR4yxJJzC3scCmeR038+zhCro/V4qAfD9rAImt8UC/ccqZg86iewOGxI7IpWKXTvqOCsYe/Eo39 5cwYN0jN9Guk2K8dOoTjKTwhMKFlIZ61uMOqVysp05ACPSVnV9OVQ26HGIX5AYlJj24i+hFvF0S0 hyQTDMTUQtb5SMbXjj/KRCw4e92p9kMtJUln+u/uovKmHCTHadRezCTDoorGnPFOxtvQvtuylfpV /Qx6Boa4ghA76sMHoSqiH1vnSAmbMd3s1UnGDKRGLe1qEGWHuGOFJ5eW5+4Wl4waolAVpupCu37N zBFdJrQ8Fcor3nx9aVcE5dwOJmUl0YPbjb1FRA1a3FnSybW0FaTMN1njJzQKNnYGEHeJmUejOgNS XVNhRnXUcgZyZe4/v9O6E0/rhIZRoPI8MW2AbrZRAifMpf1hF7t6ytORC09IADiqSJNui3QI6NNY FrLDU6eNqUmcu6y4C7tjRDwLBJpqRNfc0H6VQPLe7jwfGUTisJY0mYNK4s8anPvw5U3CUmBBJNx4 7qriPMTncVTEit9hYYMbJuJYEw7/NFZO0rXGdI2VVFxFoRkL22N4lZ5nWNFLRGBPAcVpO4iV4xWv bRJLukoYIGnZ8LbX5cNQx9TaS7HBhlCdhgFPvJvVPDlg5eGVCaCLiaHxhld/WCLUHEn41ycsoVB8 yo+Mpfg2mwhnSdeABEBUZn6vHKaksYBAIFA2KuizsssaGy90WsgCgIkpo+P5uC618Mo3RzvE2B0B LI8oCSqLhm/J48jOTUnM+X3LYM/AlxhmOFn9PH7AtlT75UMIGilH4wNi+hD5xifjFhyW2JVUmVIT wyctf0IY+ES+PdWXMMYnLTV9bpBwBJt5tEhqlAhpkMyrrnlsVx+ewAjRIQ1aKRau1nPK/DwpVVMG MZq/fIiMt6A734iLCFONOp9gXv17GE5PNJsDHVpATLgpbZ1U3paG6cowqdblq6G7khcnm3/eAO+i VkjkMt6L8m3jGLqclnbx3CQWwrD+fN5bc1bv6VoL2orqFKtuJ0ZCMSRENVxW2whXjHGU4bpX8dXr sWAQYemAhgC+H4YAgiiGUOZ9CthB3gTpiG6ChyPEwDIaG6dnnIjqqwESMd+G8PTCQBY7+JR7JtSQ loB3AZDy/jPnLYRrPLIslq/40C+EWeNC2XrWoK9OGttoL/SPcwJCzOemBOUSkZQ46MymKYXRgtdq ZsJ12cNKhftQME2EdzYGetvmiUmIzmYr6sKFJ3dKilqjGq59A3tEmzYHtyhG/MF0v9AqqFQfcj3h DfHc4vFOUWBc2dIhZ0zWsYaKakxUDuGy7KUwJJH8/Mp4xIzNgErONr6KnoTVgV1/CrxDGPEhx6cc KIIr9OZvo90gaP2dQdEZyXaNzgncQRMNDYvh+d+YT7KtGxyloxTOjti3BcQDDDa94d7w89Jt8eeq Oup3BHy4hGj8we3LO0rP8gOQav765jqyqeb3ChJWdu00FVbvPcMd4zjnKa750absW2KT01W1AcMm c9lSNgcZTKp9PR/advJz9zA6B/uYY9NWjIGY9B70a7R2ZhHWwG6KI2K9+T5eYx5N3/FoYiLmPfRt uJqyCaw02/jbsf/snjs+G1azBcnlOX6aGN5ktwVnXb4/qjAsFW8L2noSI/pTi43HCJuhlvREnbQO ElL2HaAwZcf55nNZG5PKeOg/1DLdZiejPbEH4bgrhPWh4gBv0qBagptlfRgds5TdstF0pF4wpdsA /NeVl7gLIMXpEzVIcB556NzLhm/2isaduW28fTnIm7zjVTAEvLsaIZuZq18y3r9gTDjG/ergb/H5 rj0weImlkKXW0y4tB72wgKLWhedeAzxODvMiVzPBe75phpyeR1PWGJdzstHQB7KtFn5Z+hCpuS1M LH4j1AkLMgQbr7O6En5GbNDSxqzfuOpsDFaqF33XsEGwKVjTMEPlmTpr+LecPQuGkZquTPG4OkIC ym6XqlhFE2CBC85nuF6icbTAQseKkCUikry+7qqtgo72mu0dQS+0g4NinG5rhZnJ33J+zAlHj0cp pMOSdgJOLGC4GKBeZIvuhbab9tVAXGfbAcq0vkPLBlGSEhE4OFr9FQpFkU2InWhwxWDalG556l19 r0NnuP7i3ByVokQ6ki48V0v2vPyPzNi34M+PPrp4VjHPB+X7Ms7BGVoyGBGSIQ1bdLK1ifs/buLd /4vyVN2VYY00gwIqbRZEC5m1WV2vG/bAw9WIvmd0cLcmAPkD5EDCq2L6ya1YXYTXeTRHLZasJfht lHfSvuK3578Q/+1jDEb1m79hZMf5OLoI3jcQHu69zGLYEg9hYfZDnU95FGbKziIBkQh1Xh/zO+WO d6ML31Grc2wLFcuGQBe5ZoFYgOyyCxIqXAg67yIu3nqsw3tEumLyH9yO0E4JxeblUALX6//kcVMo y6Ut/XyE9BEszQqNwTw/1ADs4eXt9IG+VV0mOMZNDV3MQyUBQizloPbkYXwYsfNghXD1+VanqEDL psKFYGUpPQlzEGw1wTrb6sAQkxVdz0AtIVD4I++ETtHwSmNEByMp0bmoZnwW5/jOX+aBM3Dpjv7b Fox7UjZ49ufUe0SBLsPyE9awuBirfSDp+rvQ8Xw6PMW6kjImC8EdjwmI0YXlCoFxuI0EhsKsvQn+ BLvhDmj2bIl9Ab7j2PUruHZiPxosKZra3tuHnVT+oWJqPaMR+0bRgmrltNuduDH318pqGB7jyeCS Y1dNF7UxMe1tGAWKR/4cyK3fUAQ7tKq9BJG81tb4uRWhABiIwSGUAUGvX40FUMvuDmih9EVVm91T XGsZB50foR3XYEMwpezdTSBrhcIjUQveQcVk/MGSchGFmVIqds+goFK7LfkKSkSqjoQ7qKXF1MPm oqazwRWoh4tjzBpfZmHEQHZZbxCs1kcDPzbykraSwvhvJz907qxLXLFVQwmTm5h+WQ18ng3mblMV PnsfokP08HCqDtTQ86WKTvM4kkglHP+SG8Sq6DEJZSMDQQtPeCv2E/lkk4CpWIZ/j+l4hK7bHCsZ dgcrKWXcBnCZZmfZwSc5uAfzcYmL/jkF9sljbAvM4+CxgMKG3wMuqSwv9qulFpcBxHmnrwCgj3C4 YBpuNigv/r4RYkyDNul+Z/a29iFFmlCNHbGCPzLV9K2RO0SEkKjVfIRUfAzzkD1fHaFv9+34CVPL HlLuoeoJ4rT7cy6Ae9qoeu6zlKfIL0sCGYcSkASWmU+kCxCusXyzhHyO6TWoV1/99IiHXLmrS3aq YSgY0PKEiAlCKHUepNeBw3EXv3O49aHeSmRb5wdGbpgJey292bGqjPDPqupdaUMHYM4SAS3lCOO/ 27PParitzlDiTejjEoQA0oqXgtN+hHLMtEEOrhotigP/zqRYZyQtFyREHGauoXPVluAmTEOOFDV8 gA2Cb68gmu56Qf+QUCSlsSwb0kPdAw698EFkSOpcX/RshnUI7VZTWWHUOetGs/CMI8LUxL356veD ZYZ5vdqBIKNGo566dmI6TiowmFgFyKAIPfFP19S6FjUPeZ37EQx4F9VhQDkWgIAWCZ5mSFTgv9mX m1G84YLWQ6P5DH/c/K0wfRVk5tdpUqjA1+fdVxSzCIzPpuEtLuji+qhOW98K4+KmsgT4d47M1b3f dq5Ox4B78zUOYl3jl5XHkozEq2Ty3yeqymkj9nyVh274gUqayFOByXW0IR0gk1evAvf+H4DtwRQH Ln7qUsjUM7bI+YaY7VC1D0r+7tP3++cGEfBz947y6c7q+OiefCG2VaAmlP/hPjNego0lHtDmh176 dIJClyI311QFA0p3JuHY7evttu7ZSDRi2TklWuAeOa/8ba/lVo4ooyDDouU2QkOVfU7g9NChTZPr qyNhgCbWOMwPmA2C4HHNRqoLZnsiaqElqEQQr30EfA3h0HsKNq8eSD540toJislK4MTrYuFyrcY+ X+whFv7hAAU465XU05GW6KsTqKlp+a2wqIRjhhfLbbes05QyVnxmzP+Phh/TavrXeSXWMoBtEqWp TSReI8xSQXZm1ETip5qcVpz/7R6VKZ+pLW9H5efHHOWP+3Idw/T0jy3YbdskbFL+O0K74FlORvbt RRrjctE9Ln4x6KwHl0lIu/kITXY9BpyvwzO8WI+dFTIVXlooacfUFdH4XT8cl6mRg+YwvbApSftt KLvczDKyE65Rs7dLfVEZnWUEf11quaolf7CQW7ba2sREY/jux3DO+VdPYUQaC7zFovoFEiVcnvdV qmb3lDzcQz+m8o7Aw2V1i+SiTmT8Y4HwctqKdZIPavdmrXIpJY9MlH9aoXILsPc3IqwShIy8Hj7L qcmdHjIgd1x2+JUt6Y9scXkb2e2xN22ohCaxQ2LNRzE3FkfuF5yVi4LMgOKsmD/qKJpsi27Ck/7j MyvMd1Rnp/b8jNDDHKJJz7iJSJRxcQhqpBu47W+4wsYOCKN1vvXR0eNbJ7YrECdj/J9BqXieKliA pMEO8ytLme9Ki194uJa9OWnme9F80pCMCicU54Iw8dfQVjOp1MrcCIcbFfhPIo+xcDzCtxGpK7HE QBKl18JMDNhz78MEY1wKB8D8ojUL/0azohmE83Cq/zcjG1CNnVasYTXo1HsaTE3i58Jeskbq5/LJ GmpdAr9B2khG6+BeElWYByN6YPYj6d0yCQ4kfflcEZda8/hWoB+GkVUNPFnc/ORH74jyp+h677+c qbao9vFjP7HedGfQm6swIVjskWViyKqtfjsCGZfYIcIMa53NgAAXXOH8qfsmD3Qjb6Wd1NkrBwnk hNhnYN0R9VFs6KwUygG4Dzte3nEcXI3PpKQBjErJPBuHPLnP/s4A35cAM1bJqv6nHpZJL3udDIcv vLJQQFUIoqqUGulwSmGI5zKc8XQUMhnUIWyfABX1SjkPG2tqWLWkFNw/dxfReddx6ORxwNK7l5eE TSc8AV5VIwcop4Vks7I134vu9dkBjzzKd00yvKZX+5sKNB44T4u8CL8iyWd4XgJuYVzGPyX3mKfe jqBLGq/wM/53iZz70eorqk5lodIXZl7OSwHS+SUrdWU7brpP/YrUngUw0DHwQiMPXAAXkANx0Is7 gJsD/trTDyG0SIxRo+bS4Xpsmk/Q+o4S0Pj0u51JmVCwfXgFXEsONg5YmTyHRSYB8HumbbRnTVRL tjPDw4ANXjVbi4Sl9mtH9oLlbcAlR39X8ORt8t4xnsQIi2m67RgaTPDO19O/mv0eUEg9dPP/+Lkq n6QQyU9YsR6wlwv0ov914PQ7pr1keP9nYtvtLfx38642szqotIB749VeWlUkxAiy73jdQV730wGP DJ9DL9DPou2mPLUrfMvFwHaUuGTUUAAy2FJp+WtLGz2T/UYmY5Lp6tPaQ7S2XWC40H2UKI+FIvIl DLppyGgvyjfwLRJi1OIRbhd1VBXneFJW051j4Dfxu68uWvpHVvEjjcLGTTR6Kt+OfdZ0wKxviMU2 rcudnxltyHSa/cz8T3vjSfJlL+ykI6sVZa9WktXt3HEs1QVptrr4oSu/n/yJGUfmhzGSvI1PTqbt m51GdZIR7QtnKnT/ddOfxzfmQA+BJNZ5bFPnBExkR5UlnwhE/J3twp54OvlCW6YPIayOI++U9Gnl ydMerNI4NZ89+Kql6dszN+vfost8IR0Ez2FaM7tenDAOdqJFKiEvQXvQZUAQZF91vVGgpW95RGLb Mvlw2jGgxZ/f5rSbVdZ7Q/LNG11HbkLiyYqHZ+22LKegbxsCtSRXZYsKbR4pPZfjC28PaJ6Rwigx pB7KxLJc/YD+v/v1axUlsKGhU9svM+7LlMyKmI9CuvL/d84pg/eBVL2uVIkcb1mMrAAoPQpxvWgL rgx8zfXy8G/GMqRGKBfzkAR+4SNYpE221dq5Vc3hHauGqoPe+W8DvwkyqKF9uME80Ug/H3AESSzg 1butLvNDg8uaRKFuh8llhsLz8EcN9MmGTj+z1H0WvXcsdZSjxHBW60ompmY7kXG74aZNemBMa6Ou 2TjEqVJyv7/kldYF0LU1UrAJVsAwSwIZjt9D6D6lRm1SuvCEjxvY/AwY0ngHnmHkhF51RqaGo7fy EgtsvfooK5UvY9ugpDdEhpTz2gVMjPpHt5W3ZOMBfzbj65SnJPa8ezHi/OXtVykpW3SJGM4QaX/b M7TfZSwqv85eJUv150S/cCPhyXDi/75M9WJx7wTZBrhGzNPHJNuiVlAr1bv4IdF9HIZ5jAoyCulO BGCEZhgo9kg9u95wNrvby6XYGwutA/WCtNdJ4LT1ogq701VB0eTbhMlVrKsbAyYRhOHun10GiMlc uvK/YJ2IXJKSKy+w/KdvM9s4dSbnbLCv6ONhnNYdsNDc3XZE8raaioXInyobMvIh+F8F3EfZ/FVs lQM3CQ9uNt28FCY9nM9gbLS0fQh6vEDx7iNxt6XflSFNmYuF+ZEKhekZK5nMDLfedUhuy2y3FDUS cREMWC/3sk+r7EzV8YEmqwbY+sRS2eexpXWG44nxQqSmsS91gazIXo/Ww3RIKtM9aC8EstCMVEUj jEr7E33L+UqC9NyK4pMm1aWGNGZaGCDzEezDeasv1258xv7jmqcDAi8dhvUH2miPROQjp3YhHjBv 9mlRKNDpuG1S48Y7LeO6pMOpqPe3uB85FyDE8kGnbEVZ0IkOxDgpouq6uOqtXy/PdFwPELjkEOGP ik6gBxe449xi6Y3QhgBWKunFXqw+WzvxpB8DD8KZkf/pjvdaq+//09PwG1apvKQcA1CfZ0Z6mcyF HHiA6mzxZH2ze6Hx3ZhnOk/2On8R6rM+PJuLZ3m9Uy4+LPth80y5u5SKPkunONXKYIbsXat5cAnY 0XjmZtP7Cn3kUA/FU/YDoFrEp5hlKdd9In4u9xamsJRy5xJhJOGGXkdcbdcxTYEOQkmBQzgsBDnL OLIB0DgRVaiNKCOhP639ty7luKjRbRPPEwVcL7TV3ApzYRUAuqivkgba8et+NVN3V54kR4VMu5gD emfJzVgWWKmmUKGAqX9C9+dY5bQN3j6yq6t/dsJ4apU3Rpu/YXxUksfbNxIgI2Ko3z6w4Zo4DXR7 mdIdomv6V66PXnEGw5qZxr0n+/n4qsNVlVy50fOM+NMZHtL6mQBzCj7J7JKyC82J9qQqVceD6na+ wOBxFywl7QAEmBaLcxUQkTQ8AvHHGpC/7JF10wBWGi9/CPOf5okD8NgPZBqnjMcDjw08lkTDeHX0 x9cGrAOq/cpKYwkI5HKvZmCILvaEtv8hunUOHwJsZ7ctzBxzn32IR80KH2X29lhPasSmAZ4w267+ tR5o4kGQM4flzBEESPtdHPyEL68otXMp6CcHv1X+2KxZMm86ohSzwv1HbTiZURKlJTOqPtXK5I/H grq2fAG/eo84vjCXyM6ZlTxcsBUEL2eoMS3etYCgT/pwKoVBt8SoJ1OxyQd88s6220UUFmyoN5cB /xHtINI9Pp25C5RxQtfHCQUlvFhJ2Nlptil9lhIy9u950hJNEl3537BTpGUfd27Ulf0fP0Y7RCSc VTelRmo23yk0bVUx/jDP1DDyVq3tlWqooEo+eQzFnlLtlOLn2xe5Dyf66LSEBl/ytkJq05dePVFA iRSRDy47ZtTHGQRtaI8akmgLKCy+DP7b7jfKzoiqOqJnwA58kGxS/8vXE5OYR/ft+SvSISusCaFd IBJnRZbbLvEtDkn8P4MQinQE7KDwG0t70wXKMJK75bbfCX3wuI3xRVCzOPC7yxfJ34sTJln9n3m5 6t+UG/qm02lD833+4OzOq6DSF7IKak7L9jdJZ+itx82md9gxddzN0cQxQg0uJKaN5UrUEw3h3JWS aWT2WCigYiD4tTZLuN+zNbgXeZJSQaAAjbsnIFXO9ESqk2loY0fh925rk2ls2s6+Lltb02vVEe15 5B/QnjNXE7BQV5z5M3PUy7lGhplqb6JUlQy8VsDheHUyZdhPgO1KESBfOo8iAa4UK71bKuMp5QA/ f+jvECKWNQs1CW8fwKb4eAia6yWlL3NI2RzLLtsEBGKlziwmKfZHWj1smaBBzbHYCE7cjHwphyvA 557FICkkhoXKP3QFpHvMwhI3Uahp8Tr9NZimHuu6zkE9TA9tMjAmvONVqjowxCZ3Cg9EhhqLyIvL yVFeyZ99gOYzHh7eES7gWrPyDoDwbF+kREHLCX2DWXqOejrUGIOSi++8rcdqmz3PW5v5vKvpH4Az I+GlPrkWRR3ibDZmeGqwXHmc/jkGuFn11dIT/JhhkVkEJsRMH2Z86n63+Gf6EQ7f/JxUn2MloPGS 646LUbOd94oA+6H2zKB3pCbjZvost9XxY3McU90/cnzuC5kYgOHvy4YaorcTuilZMj4aVf6ELu8o 7yb99SVjLgxNq2tfDFudBiqEHOou+5EatUE00RvwdkB/tmUG2DrldaYAJ9yNKaEhmQfYziz4Xaea +r7E7YYjbQeWx5yAnDTVclVQHeRxzqyYV3zuKXWFmwuWVOw3YqqOd0ctOyVmKUxRjU+3C/lNeoYV Fw8e/qYf6k9sLKXVcaei/N4KRNbrmgmZwrgb+CRnUt/miN+gyEPK7Cuh9aIMka3JH9ikM+MYtUyY HBF4HXfYMBivPK0UxlQxgkCjIap+Cznj2xsNdGsXYSuqLepbg/2T27H/MVPKqQAjKzF27mwUExy5 tLzDQ1d9HNKHvYV2hPe7OkbCpt2enVYC+FTRvU8vh2/1vmI1opyOF1xnn/GK4O2j8MALJ5KXzuCH 3dh1cMnTUFVOPHXkgQvcijXkq+Mr+o52iHj4jCN+FG+WOmwgaH/vqAQHEjNKq6lOPQkvMmKfmCsT od1j9Dw+lnsB661qwxRZIZEvSXj/ApL7/aKqokAChflzL6IUCAa8rsK2eT71Tyo55scAk8PjKhxR IrRAFCedVEsPRCShB8jjyXmuXuDPQMqThGlmPlwoW7E6Grf+CXM8oAtbMQdwwi8nrwjGGwgQ3sR8 olRg5vK2/eRDRZ4d0LIlCjkUSNyrtjvwauEz7EqNeriaQ4sWrNq/Zo5J+Zjt97Qr5KI7cNkxHXt6 l0oVp1Gxxd3EyQY977dt50P57Ce44S0WGDUWDekpT98UM3pnCWH/umocIxLvJqKHtbbLKpgL942i 9fhqO3yIeWWk3IrbWONk8UIzWwqRycunRp6NvdlbhbfXI309FDJpu50qrME7Yjvun0azLtfGnsR3 cpcWO6P4iZU8XMqWq4xoajKaYZOAJJsI0s9jzDVc0N/gVCAKubZRhnJ83AFJY8NbGyb1El+pinvQ OXStE1jIktDc0pJKnCVpUTCc5xmcKIbsxiT7COoNSnf72GT3V3e+x5FGEkwB84nhiB5OfaHYytjh 8o/WUTLJO+Q/ZEsIC8R+ObwBOGjMQMVcHccwaarSd0qW55i0OGBAbRu7F6K5eKtowVmQ+GUq11il 7qh67oOu7JIz2irnL3+RZOVOwZSlt+FMz3QVoCsMT0UGpPbLlbBkybj22Q5/bLx574Lxm3ffqkLg RRa6OLlWURrW7ZD60gYKtan291kvYnRhZqMTcxeoWs8lL0+XUMa2WvIg9Gft7DaWSVkeTwSmvtvZ /3VGBJ0G4rrX660mI3t3l2EtZyV/hLz43PmHf7CF2oxHvOrMXqLTE6LDI8ejJJLZOd4Xf3LGq/Rn mw7XBcIap+lyIEegwPFigH/IUzsnurxNEYu4I+fTXcwpoOHCdCFNcGDyToqNjG1cKc7POEqd4kJZ JEmmoPx4pvqbIasLh3bdVBHcQVqOykJjuKLoFNRuqMP36z75lWxr3cYNUAEBgzCyqvyFuBKnCgpS SmQsrZ7fyb8uXMbfQkl4IHE3ZLNuIqkiQC77C2WGnl1HIW0uvLQMWzAgDfdwrlj3lsV4XE5k7srh ueSXXOCJEErCNcMKHilzYbHnwXrlxq8lHUHXAG9mZKDiotxKaHNv/jIhPbh1ozvA5QkMbLSx/vRX fP1sNxe6w1bmmqB4V3GflnhAqawAC5Tw4pYCcsjtfK0r1ZLGUK/SMNevMFp9/gW7pCmp+Qq4tAXy uYMRoZEhlqzHowcnAAeEIq6ErsdlhcriHDppYWhDLmzLb6gCX17cLfOserjMCCnC+eBwW8zcp4E0 h/iY8kC+l5n1cOj7znDBtn/XHJTQ9/Gr4zZSdrYOt8F8q3+OqsIm2IOmjCf0qO4a/RgTJ5J73AHq 1ghRpYzQqyQr1oF2QBNgvDiCKKNKWTo957E4tIciooxChmmoZmY1nF2z9mhMCSZYUCMA273fH3LH x5NW9WGHJfoxyxP5AIQdaE7Sa39EXM9RmCaUk1ca2I+25ktoKpAjC21fs024f3h3oDSR4l54qIyv lOJo/NHo2kNf3aQS21fOTM866Z6kSJLDz0RYJpAOrXGvTmHrevM+54sOwH8DE+ZwqdZrJQwoCve4 J19wJqYHo4cxpHtWyZHJABYb/GSC6mvDgGSvEsAY64zHZJ62pyYkFJX3w4OsKWvNbkcuEz7emMwd J0qcpfbdePn1Ua0cyXOdi2lnJmh/8jEkfrpFS2l2nue8INBmQZ4/KQhmM+1S9cm4Lql/9d6sLblh /XRivnyacK/1OUEAEbFudOeKFideKIzX8INpbsXgKk00RQFq2bNVltuNOLiszbwfFh7x1GgINUwE 98RrAO9E2Neo4LVXuxzGj3IZvP+Wuo+jOqGXzGH8KRKUepcc2mTvlzbOO0viGLCCkN5ROpk7iyJ/ pOaOqR9E6hnPsxLYwRlMisgpGokD2uCtm7r1Vr7OriWuG7hWdcdR/xTBagu/x4U6D/hwy5bnZ5x5 DIps43oAxPXb1Fb7IYO/VWImA58GmN3AMsG0N0W30dCHr+FvBecKqeSbTkTyDAQlsgDUbiX7XFLb 2Q17Z2feXfaKvfD1RFYnzRbHfCaYP2zYXonienQXkXydr+k792qFGXkxvQyxTV5Y63moBnF9gARx yOmNTiMQGefitY9NJBRTUk4+/o4xE4kX+RVDiQQBTBCCoYqAxCLTrusVEi6lV4e7lz28ixGnsNGk 3zCmHOwzkmUkGNpwNVtkygIaJbQIHH32Qh84jrfHBdzOo/4lRn7/VrcsdrqRFln9ppiwRxQFh/bQ NK810PNIqixFYrqxbapMuoAuhcne1c7/4NynVMKN9ySu4hj4bPetxfBCJppD8jCnmRc7edNP/RTe hZlqkpzmSjgqzruiUUEWp0U9BPzh+laKJIKXznKESfMxg6vkUE9Vp0HKIlAesdDL9+tWrJjJoCG6 wQuWm20xPAB5YQ+xokX72QheMZg6sPsu/AUIgR0n+fAG+ihDH9SH1166CTFbNQBmKnzCl2Nh0gBC 7vJEf4KdwB7y2DcjbK/u7d6k7YbqFRWIY8+ksQrXWJOj1vA0Qqr8WDqpHomE8lb+TYggRvrfoQ6c v8FRekOoeAKaORGFQVs3PzLr7AbSENoZXKdcDpqWArgPY1YWj0aeEQZxPU89kkdlPuoia1J4E7xc yrtxMOOjBkvRLq9o3mnBmQOmXsIrH8M/Ll7CWHSHzsbhk9Iz6X4KNqtI2s6nx5kviTFBhtaFxsCm cDwBtN5TQ60/vOHE/JuR7wgaIZIHD9fNSG5FUcBMsrapERdeMDC2g4MZSwKKuYgnTHntJHs8CkIz fmGg0TOibXmr79j+fjo63c4hb1HWNtPVWeWZcOmTo2entULFufPVWjZUpSiDYRjCI1cmZxr3EF/U C0rOoh3BXYf4vRMRyOVox9yqnKx9NrgPwrLaqXUCcerwB7zWkZEX+3HRw1QPj6vk/b3hj8650QDq wvRHx+1pzDqqP/erreB7oNQL/YaoaTR8CKqYzuInIzKL/V09fQSF1yrvElK2SmptANIrqkDBWSmu CHxf2rFRsHKiWnNTo7smPd4KjRw6wD10onIcMyPxF644mGUuZ3PKsYrHIDpNkln5W1Z4HyA0fME3 8LPA7YOH177uLGOu4Cs1wNP87inyEbmn3Lt6PQf0j2pyQmm0Ap8/L9F7OS2xVCA9Bsras72bxeG/ R8nqYrbpUNdZSOyfzizPq7Chvkw1I+7Mg6RyeH0C2zvcljWkWX7IFFlC0rohFypo+0/0xkP/+K01 ItVnB42sFMsVDXVrgBlEajhSNDRvBpzWpVtFKGQ47Frew2w7GKvYBqE+BnzNk1+3H4hfMI8EnybM RLc4cp/got0iJvP4dpz/v2Zh9x5rA45PRpvujRLDQy7pCwowAyEvRCNN12/W+1DaHh8CHkwmWR9P QDipaXA0wyHKh9EpJfradkE1WdpHyHSuVRQOeIahAn35/A//PkhnP7TsUTOK9j76W2ze6rKDawaU xB26Ibfda+WKZFnN0HugX4cb1X7aZtQ3QoKVOVNYvfakePRAYlpS1y7NeuKyKsuAzbapdu6yw7Da lQO54TXXYFjZL/s/YMAhsSl3mZYqzqNv+fjf8GsCZa2AJxd80PYlhycYIEhLk2PIHJHKEnVRYTHg 7tj1daCx9X73wNkh4/V4FPIIwqjV04a4xanhqaN8GIaYOKyyiCUScF9rGBodlvcKjEUbypcRiy1H j05pp2k+aY8iMENBcDmSYgNL6KEbB7oiwBkRW2YYxVVwzT1F1UzieQzImjFuPgSj5KzK98Ks21TJ GDlZK3Z7cAPamFFKnCsEsSBR2iJg3wLgMyiMzGtkjV6vxyjPG0e0ZynDPt3HdK31G2arFJaUttTj CHcw0Qy00cyCFk6aHkR5eqFR9KeS/jm63zsSkhp7Vv4yZwHghiEvhuWQ4VTRGeEUKGD44BHVGJR5 AaWOgDrA/hO3kKZKSQZ/cDus2xMtRg6Oj/zZNLBT6dhBYclBF8SDmHNSYQqJEhgw5+8+HRrdvwP0 +74lsnLIVxsnrj45SaR+Uscbp2hDoMFBaRcB+siqDuQAJavR2iB+IlsNwBft2TjxWLvZ9pjmlRIN ay1FsOCEGsDdikFfJ11J0lxX61qZX1aezRYyDNb0OgRPlXSBJQFMPt7kv17d5X2pFkSMf0uevwaV TAd24KR7kSdwu6pJi7mda5GYkqrQs41L3IHbWsgRZj1S/eKN8Y5qHXoKw4cltnkd0P4wQe6cqj6Q +B0kdo/FknQ9+/SaE5GqFzyKeTP3jU2TGv3bNgBqZnhKDsiE6Ju8gC+Avo0S6+KiKrYtSpGwxw9y 1l0kWUJBMZqukbc5IN+rb6lgE69Z3HkFgmZ59JKgCSrR8RF7OR2OBZLgn0NdnAyby9taDESDXaPE /mlx6kiLWRZbcTY5POlL8/QzOGpe1ZKMf8ek5g4+IKlVoFncbJfjLhYjopP8aBDQOvdnfA0rI3CI J3pJ9Qeg7MfvY/Wu8JdX09Ocf8omhdaE58H0XxV52UohRTKQQfuTkeXybvpNx7Fm8HzDJjvXptEV Ibk5YbOMnD353JKuY2Exbd9tHi3RAIekdHXmTphwWuGuhLu0wfQ85Yb47/s0Y+RStda9GJDLNSNw zEVs3iDsPwG1sbsmTGxxSWih5toG9XzsRPE35RU9KvneLKs28OMQeMVAS8jVZLW6mMVgo37e30pU 3VyVQTfrulrsWtvCOfWqVYUOu0hxrfxtHi1tnMl8wVKIESMo1MhjSLS+tkU92pwfHtGptDXw2fUr t6osAgM2UJ535Xl2htnRDO68ft4yQnfuWVoamwUm1B+Xk0maeAY+I0C87AOg/83m4X/d7scGIjYk bsKwtJS/wH8SE0qyUdQ5zuHRygIWgei/ATWeDYsVXtQWCg8IgkY6tCjWCQodPjzHBU55kOzh8tAo tYkZzUPtN0RSB1xS5duhFBxTEMpJiALo0awNqkqcj3UTTx+ykElxhkhAALxBbQADaKAwRhkkSCKZ PMShABFd89uc6w9AiEmeZgpY4f87LBurBAxEBDSLmq/n+hiT1KEslBQ62r6LYEb2mJOYZt8zF8+8 2jfS8qIWG0QsJxX3dvOdcxD/6FThQ/0b+elz2Z9x4qlsqxJw63MgDulImuhOslO55+BCrTnvGElc SrMaBqUksPKyx0PQ2pcvIbphf/c9oCedyiB5v3kMRvTEjoH8hGA2rfPjZF5NpRO7Tj5BuAfAt9K+ QOxR7G3bM5N8RWDdmACa0xeHFCRMSWdi4UvCOnOUJIoaguFYr6hnWG3bPxQ4/0OnyUMZIgpPkn5T 2tyB8233OINw1rdvlI0eepndzJpr1lhGV3Db/uP2wyf2ppdU9Vf7QVoiV0I4jEkvhRW3DjwSCzjZ JMArbL+kbSVVfe73m3G95K+C3Nt448qBeQ4G8NfhjuqXnHNwAX8kn5l7s8wCsMGBbvZpsobJMTgs evkEKE7vioYcXlejQMgGR1xhICp7m5EA6CiqYMAuVX3ReSw8lAGhtaBwZIpVjFc3T7XmHIKJdDQ3 Gw/PWFfDk/21YhMer9zifmASwZVWTvE5RMK3WNhr2KWqQ1AKooB+dFu178/Sgt6ufHCzvPPYoXOY CwhD256K4hWEhgZSIzGdyT7V8XIFg/1p9Idw6rOtEGis5rb/OcETavBbrjFjs82ye/N9qDwzzqW1 Z40krL3FtiqG66GmYDl+6F/F9FvtP4XieXxwKxYh+/9K9J901lJ5vTI4T6UXtLi228ZynX7RC+lS 9/YpSaGySi8i7XnIY05Sto+8H6qNRowUHq3JBddpFz0QJAu9+s6YQ4pfEtV/qGEJ4MKshL0koQo8 4fDRVg/s/6TjMZpb8QxUH2tOEuxwz0yiI8koKKt7lxKexxUgrpyX8pI82W/tNhdzEB37pdJeCWvt xF17LCrNzHMCwJdkVS11Tywk9ZzNhX6HcFxyKqZrvEJhUELcq31Q2giBEYueq8PFJ8+bqhqc3y/y 6YVxjZUszUdLwBkaU8QrjdQ3Ohnu3AsDrM5GKcEBL3zKpmSQUuEHN1h42EnmJBejiKQDmlL6unVm S7oK/wFejkmZv/Psb3gA6gsIE9SQy9jmkAVkDtapSebL+LxfvuZ+TM9R7X1TfQK4ufVX06XMjQwl j++2VviwpcMElcszsoPasgrI43kHs/Rtw3Bp/jbJNWQmdi0AvHKe1gBh7SMC0kgS1ZMogNsBeK5M ycWrwbgydMCPf+E5sfNAYUgxuB7wOCUJcUjvSdZMJvot/I8m6HWY+26cf8LIa9HuMLMAd5T4M0mh /D8iD5CH/8cUKMT58RQyqrwdHkpXWbIYVWRPTKR0pdiYbrAvCqYkYY6YOGfqY7G4QNAkZRbek41w KfnT3IAdgIdz8J1Y8QYg9/O7S0FzdjFcPcWLR5rPkVlKB2dh8ODdYUgvO8pApSn5eUuuRB3bAe9c gUjscCxcGd0bhk1cmpyzLwycc3SA6A/KP/lRk5hrvrTtoYp83v6ses/lIh7SM/+RuFYCiDVik/3Z b6PzNiuqdE1Im4gN8uNFHbo7qIhwPD5CIDIBUnA/Blaz3GPnI3akQdDMWlMK1vpQwnTROmPHHd6T f0AFSE2li/gXd9t1hWWKFnCrcFUrdf4WVBwBC6lm6vckySAm1301JakP59eOazeXidqCNPmHMFT8 QYmfquSEMYjADSX8aUAc2LKV3r9+krrmWTKtzxUL/m7uxewdaeo5/6/KRRlSmL5TflmmbMWj0qok 18n27KnW++iUIc52MT74925nL5O2W6i5kPZ8hG7yeuD8KlsyNRxFI910SVhAXQ1x/rABlO9ILzlS xtoASdFOEGwyz3xBUqOCPGjMee1nBpX385sPydrfiQCHHxAGt2NewAJ4UtAzJ2r/Cel/wzZjixRD uXcrbChVx5dYSq5qdlmDnERaLMI1U8aLZGYPSJEtkZ06rCgmp43i1I6w8fpNBtZdtonsx8EYXcvJ 1DQSiWe7DD5jUZPhvBMRkRIynXJTOJ5iHiRrm6zhW+lG6mHnF5lT9TPAEakpyo4hVuQEGX4FhSGs EoR3dZdgpcBvC+V+VF96CoTlzJXyf2JKNYUADo5YwXDFFj2aptanzTsjzQHFuRDA4DAerGd2s6Dl M45Jx+J0/g5OPDMm/g3l6VDQJMmfup3JljB+g9tYYKbUfb9VBy9EYPNEN2WWzt7K3iitebA9ylfd y9vbtqD0eYp4umVI0rx0FcvUPZXx4McAuZte/7Gg2FRHalIcWLcAu06MfKQL6C+TfpibCbD+hlei oNfWr4ACPurxxJeAnO2qIizkgXXfLIafNU+8zM1fzNx/dQXw5Y0crbcsMP9REim/NkJMjjVkwyqa qsN7BCepcQGUYJ3Va/0TbIyb+D5EpQpFk4cnlNHsk3yn+MyFjBzwAkWPIJlSjw/Na/pPivnCgdCU +k8rycktPxvHXj975Z+MU9i1xB+pEuxwh8KlBXl9pA+aeNgtqtt6eMUPGGI7+SM8BF46oAtG9tnt xUPo1VP5lCnyYDouNDrqe9URhbpV2GGETlH0HWYRmaObqo3I9cvfKjonM5pfFfybszOvdIYFsmXX 9mU8rkrtk57hr/4/Fz0EKp+VW4K7s87qNMyWWLfxa4KtSorDYrTJ5leGoAfMcCdX+gNW6cyWF7GR 3vOhuI4pF0xA2DbogtYoAgPHNfArGS2CTu+WmxBWoZsK4pTq9OBPG7QVUZpG6fDPKB8xero97sAL OM/BHdxaQ0MS0x8tIyF8zcW8inLMweGegITdNBquaQmV4ll3r1IYmagY4KW5hDcEe5YXEttsK8G1 uqHntMqbJ1TEFYeAAMYbpxWfSGthQM4QYjv0ohJvP3aBmMlv0ANoOJgBlMxM4/Bxt7IJrMyjv9nD /+UCzC626iLgv020F1RE/2DZehu+TQgvum+icqkLLFo33vvJWUsdbmhRVdEw2bhur4iaNxSU0YGl c7OYDR/+iZzAQrJE02a0LBtTn3MiijnfQOjpuQpmkfmbKFteyIz8GjpiGx1OtKMrcDjmz/Mca5qr Ni4hzDveFA3zV8qLA5F7IOCr8PCgCIHUZ2rrmCM3Zj/dlygNpKCZ4a914JjPcAfis2wA8aba0Hq3 r3rJLLdmw3HMN9uN+v7qCqt8yEPnylBUzRij5kKKxVMzV6A4QMeEIFw9RSaI0qfD4/UDLEQ/TOKF 2sRsEl36kagOnOPMmZj1055ww8RPFtEUSvtsiactsZYfs/JO1u3NxKLa99tGcI/w1hkgWwFlGXNN rHgVGXlkfJLgrjkXZAdsf0x/fRvyY56EWBZLRk/7TJ0V1YdWRbaG52R8W8W+40H/nqjp2lvXCOFA /IAGWeniN5BqE0qCjFO9omry7FMMT4nv/bqt2dGiu5mlznmQ2SBpFC+hMIYX0qKLl2Nz52Tk5IFS iDJsDYpbLDMvBD8vq+wpCesfxPCT5+8BLyea14eIC+y7Xq4yRNDXVCSb5hnDqZrt9rQ4jVPjlFMU 9awafghnk3C4J7TsjXdzc3RVr+kHs5Mt4kTmxoxyCzdWVl5p+YCLD7Ow3VPvjqM5P/WEdCCLJInz FoFI8/GmBSe0NioJvqevvGPz1bok80nFT74FsQBAEvryRPxu1w8FShmA/7eBL2rNaS5RGIweXkKS sTtsEkFmhISu9DG9VQDaYtspMtnMRHDwRp7swrBPUH1VKOeDQlxYRugX8dqr9ioPVBMyRFLeDuoO BUBknsUcALN79A71g7YfX0vQBrhN4i8yprNquZ8cG7AdkSHJjp0JlmYoy2hmR1ABh2KUMDq2rSQO TBzHaGMnfwT/7GTCkWMZtRAbp2bBKCSFqT9nILhjxiPP1kA7JCHcrqOERQ3pb4Rkdtm+uNaPidYa /v7NbJLBopYi/pAUuOPqV3+B3u4q66FxSbh8+pW+Hi+lP6zqxEys4GTuhjGal6gmCzKwfS8lhzQ0 GQmNOU/k9Nh5PHANug7vfLeRjVqXqrbve7slRN4iU6jANG4nDJoW3iIntdS6a0REdX1GP6VYy8SX uczlm/sGwuuRhiOVn5K3s16NZ7JptQvvMV1yTCP9tRETgwE6CdlBjI+1rlYV+cC3X7X+Ct6HGZkw uILNuP9oAJ+tbWADD2aD/cBOCyldXvgUTCUhOybcErSCMxtN1cxO7uFCKtbzbm8qgKZuRlJs30JW iD1QJGkCCQPKmGyFf0T88xMonGfErDzAQrXwdaf3+sYgN6y23/wZklT1Xte2Momnt6EoZL5370ST Wq1A5NfL+Kq/b3biU3bMwJzOm+Q8Q60w9RRKbm626VUiqeVnTyZ4XTkmQUzmK6Uf8ryiXvRifK+U lUbML0wAkUMGwgBt7UESAKShjbTWn+0tqKy2ZNxdKVL58eXHBGnDi0MtKZVW75iIqwkDrwiW+wz+ Za4E+9mfkHADjyTxf2vSiqUc1xXxcO+GFeSJ2AHAWwjAXNxUK7vIK5vAJHNdJ3Dld5YmSszXSBXZ G7cqhBvbgLQVri7n/iBBRFZ2kJ/bLhZK+0+ZFMmfp6wshZfDcfNhybMdDE9sJD/ofyO3ilPchLC+ kwM/x7jMYLtSE9YqpslRPU4x/c6SnNmep5w1vmwfT5fFZpIY5G8LOr31wSAZ6tzbffdqpyQQP0Yz BecjbEgdL+A40HMMyMXBJ0KE/VIpj4MSDeGLvlQyH3LXV6Hz8QHXIxAkm5jIVIcmkZwrLJj4Ldrx FatmBJQ/+X6kWOLpzcBbQsiHMftFbtpikbvJ7UCyT/RppTMPqeQEzEI2XNtS6C5HF6lsVlE9q+mR byamfH0R+DzOnOWBPgUv7O7CNz9GesEK4E9NAewMWI7qsktrtTrxaCR3sIo3SD/Yw/Q2FlQ/PuXW YEgm6zSNj1XkT7RBBU5/vCx80pqngKY6VCb1lq2qxC66Gcq7i5Mudo8dm7zsUpw0xr3+jgMV8vUR ENC0gtRNwwYLapK+x2bZSAUy1UW9wUI5Jj1HePi+FCsITqxk03RwchrL7CG40KvwiFDdmWafreKI 4uvsWqT0LqzwTjtlxmXcHA2/VzfEI+K5Ir+iqK/qONAAXGXVM8SD2oi8pJ7tT+kuEDYv1+uSwnhc n0ZKQncCrM1a1UyuDA4xoQHxJj4gLY02Np8kw09fKDlvRdyC6V2pIDJPsPxExxus1bFYul4pLeBZ H6m+dgd3KeO0r3e/FaZNgqFTcc146+27erJDaENU/KZibIWhOKf5Td9wYKT2nIWY//pADh3st8dA 8+RUbPfXGvWEMwqQocFiN796FdikbRT1t4HnJqSRpOpLrBQt2x4W1dqFbkPlQsP6zvBh8jGwJ2Si djvZHzS3QZ84W7C2TKEsLxWqw1m5LDtHL/e8KMGWkpbf3tom8n1wNn9Dtyad4bqHw9iQnetz5mIz cpaFn7qVRlAskvlLODkC5GEFkWxOmrAZY7yZxGFQEeGumLMedEZ0h6NhIRHTy5rDjFM7asTsm/na rmuCeZ6SFyUrBLvSW6mPgY9YQSSweNdv4TphiLI7kx78Z28iHRAQfUFfi4dD86/OnmpETVqYeiVS yQvkB670/Af1Qnw8nhY/St2dVlJ0UmVD+TbI0m9jVtDc6TRYjsJVe51uJpLcHxR9jJa04ER0h6fJ npH9AURKPxUI7IrMTEvpGyu197Q5Fo54HAxLwyCZNcJfI90tJfA1vJFipuhg6fHYAiT96lg2vdHG HjkPUnJNuBQtiL8x9L7EPx5M0EJGY/gSt7BzG3j/vcuf0Jt6h4sP9rbX5VTYhXS8+fr+Soeor6tJ gJOURd04SPpbU/nND3pJ0myFMGMGmGvJQJxZgcIIQS/6dsjyYU8cjVdo0uoW0ys2dbIldOGCkawn 2DnHqjrCZhayfJ1cvK8/vljnK8Y48UHef4wLUM/Y7+HQ+mF3plopa+RBasw7XH1fSlCYqboNNvqR J5LofHsPwv05E8t0ITnWJqSoF0lFCas0D44hC4OeigFQ0ZZY1zfpcFsjTVQqUgMIvlqnZ/RUfgKj nco6w58kGJG1K1Sk+afCcR6TUD2uiWVh80Abhig8ucdoaO+S8yEM0Hw5KW5GDwf4V/9tYRxkJh/1 lRlijLzw4sy54bfEH53eM4yYBAAGIRgjA414P+WSQQntEh/SAdPKkcQwQ3QmHh0tReWuMJoCuHEN 4S71m9renudYG8eFlap/1P3SvJtz7jXBhrqXOnocmkHFnKI8HmQsrb9nak0c+4ixEFZ2kHDijNL0 /eoNJiAGfVrwwJkug85OIDkLxWM+zCNBoJVJOq3qpqhzT/e4hYO3yrtoIRaHV2P7fIZMWllQdScX hUZeJC1EhlDbFrUupahcnvM9pwl8ejkNprFcb0DSlnSZwsG53tDDwTSeWgXotSfi3P/lblGjNYUA eoCFrlm6zgXkF93F0Y8i0WBlYoOsjHGJt/z5/u6gGXdhC15RYY5kWI/ACcn2yydfms33AcrnEUhH 6uq49PDJXVA733TX++1mXQio+i6F0R4bB+HpUZtCUozskjXDx6fuvq9QtQv+7zjKyZK8Ysa0s7rX AIzwBwK+38i2Siee4SdGgKmIGwukvSVGgNP++y6ZqZAdMWwtnHLUuHK4el7rsp1awLvzF747Jb0C Mid1GCMLw8tCUmOK2ak6cz/Eq/DaKjV5rKCufBNOzy3svImoa0vrG+abpaL3pWRGO56T0yjby9Vk Wa9uoJpPtRa1hpZeLvqaia1q/zeNmk2woo1abyJkPNoDy8M5/Z1N5m3jGzf7vy+LZ1oG3foofXH2 scXARfxO/UXlBQKl+3ImsDzAnaAZfYIA3Zs6KxzqzlWT79+4nR4wcKZj5s2XUb0k2f+88fAPNOfx BRA4RUcxF4JLDW6pWdpjLAzQrtsFxdKXdMY9QXqDP6Vg88G2S+fDQzW1o3ejQk+ztSMIjUvf3Q5C 1fUSIEb953ybURBAa+IJmi4ejpdjSfkTRdfYfrFAWdoAlEH8ooX8+FKJ0oepUL8En91KT8+yL4Zx augSZ7GljAM+PODLh1PZMPiPPd2KjcE0L8hTfA/h69BMW4AbFzwfvafHw9QrDRr67TS0i+XTSABN GCWEskMlymF1Xz1qMnYtbxm5uvWN3JYB23XcxoxZhQdUSknkH94q4Tj+ejIPwr663ORZw3y2brMx NYca00FHtzCuhigqzgmEdcd0jiirnEto8qYg/uikMax0eRcAtpW+PhKu8dk72XgiRmdA5J9ILF46 c7gLkd4Ep64jxZiIzIpoPzFtqwCHCV3Adx1PLp3prno7j1kLnDwPgau/W9S3BHBfmm1LDvuapgvu 5Z+HGh34rm2a/5/9Rnx60gyegSoO3sgAkXru027rzzfCHSTU0Mm+ZRsqX4+hAMgYgyEYg4fuQkDT SdQT/XHzNGSxVuvsAkJ/jBjTsJ0bXYqnpHwwr0vpPmS4CgVaI3gsCgF8hvoPEfNscM4Qky+y1zBD hwkB5peHwq7/p+Er0Opk7J2VSPx5QBaEN1gkb0rQJc7gC6jKjFVSmAcVrliCbkvuJy+9eQDW8BgV W5lMWTUcQ09Fo/50DxygN0i0tPmDmG7kAYanwD+BABdo0u5FATNZKBbWdpLcAZK+5PBwAo6pZ6vm h+vK3T9BLJ+N4fap+zgWuflbqxYYoZtAB9MgDigBr2ORe2kEH/nE+R7a9Z9iDjSB6vdbWEcTMggi Oqngu0M0crODWdGYVk2uhoDJbFKxqGpBFSIv6wR1yH2pI+15C4AMw/oD5qACmY09liJiOTLJQeQe lXFoNfGrAyMfwRyBefsdjIy609zoSmx67QXzEzOqi0gdhzUFwXnQoV2YyQbuiX2rqepzcs19EPLF BHJR+GKb3gz0YAlOqV8TH/LOkIMGMpJFwUK5ShgK138VziIERQBgFjsUP93TQg03nywka+jmhO+1 5gNu6HiUWZ88spWisGFw+55i8Z1ZvWKCQ0FNqY4dEnMDG3ipP0NGpQ2FaoLwXH+bFnDXvo8dk8kl kWqiC4okvaRxd4ZQbzOHxsvGbI5HLE4gaOg0jhYvXj7YndLVGSuI6TQmYl8+iEOBIhspbYaL7pKC UMAa7ybC+m3mX1xwPM5Jgkgk3G+NZ1+kTFzTY95qosigTdb0GtZeF4nr5DbZIIlAsAOG9s5+iQPb jh72v7uoymT/E+KzdmR7FEE6Seudw8DpQgtYhIsSh9Ia8L5lSYar+6zfee2Gxtd79X/NAaamswOx xpE6U3UBgFeRlJJRuVCwHEAw3JlcmUvXZEAUeZf1hC/ZO/kjub8kpPcjRSD0jUkIqioqXLJSs/o7 LPBMuvkNfaxZ5u4e4xFyiACdUkb/1+007kVnzLVmHvxc0CbF10FmCJNwvqMlDATbL4o8N6QYftAZ uADSVCy/XqM05NOiFxi3oDvyYQzog4GXMai8BRynVTgQXZGbtCw7+A/3IrrTv/U2FhTF99FEwk6p mDvpSdAV9T8Nq7cC25Z8SF4JBn8lL41MdMlZhWfhyCTR7niQRtugnXsquNUriGq9c/PBekYxLlbt pUX76bJ+/ObBSPRUCsaDQYTLMUKZj/OXTYY1jf44VN4ku2fBh6skNuWX4NSD8Ue1d6cBSgqSzB/R 91VARXJ12lwvSsAiIJmnJAINsL1+lMP8U4EZtxv6vUJcAmkeWlI7qOXxRntAczQIlnPo4D+jf6UU tiP62ojmaPeTBxkocQHNbkgveloVXlPQsen9Ad/Gn20PG8gAd2Ys4oCVOUOcjI/dFK3ORxX+2DEd pKiVqWYlUNriafBYrVozZOuLUCXaor307g50isNvKUppFTc7NQR2x2nYJ+xfxcZLgYhLlKZJDYdi sqMvJWwRKH1vR+NDwuV+2crFUdBRVMOo557F9h/o6APoElipEkpINl3FTJ3qaBvfOTrCJdnfHfnV Yx5D7RUR9x8DyBZUwDiYRtCOoJ94BdT9FVirDoTPKL0ZsYDs0jncTewRoEMlXJnZDPkEvpY2Iw72 pSlMkQ4QD9uVa0OnC/DJJ7vLCzJjV+yvO80W/Ygd8oYUmS5qKuBJtanuZZmk1a3cSchqavLt0RKU N3RvSgm//NkSjhEHSx85on41X3Skvfz3fb7urKQY4wqoQKgyGfBp1hMCDdjckqMQvxkln/2HvWph hv9hOduXJWYfWJIdcfYta1203n287uF0jvfB2sd3ZS+wls7C+H9WgmaTCoPeOxIESce0ZOT+GQ+7 5XGKjnomJywLILCWf7XOszcliY2fGLvvRBgk+ghAo9+Ys9y8XDa11C13U4oV6wB5PiF2guo8iDND iOW9247HFmjGs+uiW3JDwbBYg+TWdtN9+gNp3mvtoDgGL+VExp2fdkqvmiftEbiiR/dxfUsHA5Vw K3ZHfCr735ngFgQujaw8CxmLxySDH7o5ieJ66nvgCLT7DgLw49zOEo++PX559aKejW4wjQxQ9TLK YvkkxtWcT2OPxeEgZpcTZEXf/yv7mSFZXuQC7jrPB/Knfhz0gd96yW2oPtJhXCkrvhUWuSzDuPYD gsJo/KKAk5r7sVlLTdD6+2yuPUqiQC9SVaAdlc13BnIaGMQT70OIoPp55VTF/ZQ747u7TW6BJeTF h2fFwi5HgD34GQ30bRR+0821SHJO51wWoo/GeNovuuX3oj7I5/liWHGBiP7YttGRag20MqiqjIuI TFdNE65uH7WX5Cg8QGC7IivB0NUGqBKvcYqBl4ix2YqmPNJ+W6k8iDfvPZYP/vf67OQ4OK3U38KK 4fHqP2hytFfFtp4Zip4SX36T+1q54WB8ZAZCT088c9vHi+uxyKv61wEWjgCx2dIizbEp7ZxpgGZG 0ZCp8bVDjYyYD6fFv/euDB1rdSBCIjwNfwBM2dRRvnmw4ecpRfH7fo4u9p/D5Lnl45fRnf5joQxh V2zfMLcslKE/Hg4PZrDBYSkq9IEqVYVMIoUsHRLQwYxDHS4yc3sZiffCfE6hQjdmUjTfh1jK5H6G +MzxyMfEIlMrztZXxq9eP4yVRRL81tetc9RVd6fnsLzpOZTo3RRnUXPkafMsayR4RQJZUe8Bie4b MyHIQxAt0x7PttwItq/QtmDpUGw9uewOd8xIy7BhjwDfMByD04xLOZmxo+YM4InY6Il2bJasfIJk sqzTuEYYwuCY9RYW6dElM2a7x9D4+gvLMT9XbhCFmFFy1iZxs9TUe6KxaqrOXD6zADjX9rHRES2B st2GtzBPkHL+20nN9hxw4DbD5GFKOum81SvQ2vGb934ajZDz+2bwsoCdu40qrtZrWGIJMZk7eCKG aHAIkM9LZcPX6r8nZ4dGSxuTmbzInBHbP9f9pfPFrJBSL6t6Jo09wKF4e35OsU9/IPsDXnniz1v/ AUenqVdFMmwHiCzTPAN4lltiCj7c/FTxO1qU9d/KJv2MR62qOqXuY57W3sCu8tMRhey01F7NXS35 mdStoH6YgPG9Euu835RR1dG0uy5V4mbNOPdUwKt5YsLnuGbppuuIuiLR7rIa+AFtTa9GT9GfyLAE jAUGWncdxtD4lYkJinra9d3QvOxz0fmgbFHRIPFxXDBoum0EK7SyylEtWo4wM0NpiNf67f7tgSaL mriSbdx+STFpXJRKaMctEf/JBiV5M8GfpOu4DItKnkwrAE7VDSnL096lAjqOKz0bC05Ef1sZu8mC XLFUfJup0PT4+IIRC9U4TUuSJNXRTL6e1XVFz6NebgKsvRta/pJMeO5pKLZCmwMP0aYevHx2ciqO bSWRyWnoRH23mKZmXnftAbOn9iTh/YZd7OMWukYsty7nCZ1vrfK2sP3ZzmeoKC2OZFteWPCvXOmx PNlXcgGBxsV6xxZGB2XHsqBSpUhpmQHuRGenBvbuipCBbALz8+rc+a1nqrBXkiR1Rj3q9W77j3Rj rjaKFtczl0K9B1J5n5jPlibFuJVcsi84FWlfgcwejsUuSnvoxGvzr19KTKk6YqTXAgSXLV7clW9G PYuZ1135eWR4Ef9iry6iPHaBK+t5oE4jJohawjZ/QS+hmoJst1G76g+EUbLMuhbGWQebhukm+08r l2NMsbL5CTW2qpH/MkcEBGYI4g2Qzvoko7mmxJQB3q+eqsfMXioOLTCiK4jM3lGWB583kTJ6eBlr bwrn5rhaX0XjgWODmKHp9InSa1qvqbTsZ660jVTtkpDsxEhxlHnKz3l2/CN58y3ZtlAQDAq+WEKf 9bc9eMnBRW+Dge8tsaiSlHC3oBa5IOnNhEDu9KTkru2g9X0JT5QdyP004bLV0EjgHKnYQVzgwLKR KsHpaxUa9+gfJH/SC8ftsHJOhGTLxZz7IUvRWioxbDtJZu/djyLWu3B9lt579hNtaVU/8SMvu2MD tzrooociL0PdTZ6wZ5BSlbzfy9QBP0y1JDfMiTrp0ofNrnqhmvPYPQ+i+WsinhVkgGXR1+14fl2x 2GDIRz3lhB1pls/xeKV0sNj31EPcLljiX9OtDe6ea7h+h7qr+93aj2tuodpEdET5mH6+EsutEwZi V/S38iYjisioUvkd9lhx3U1jrdzRL431y887ZNr20yx1mm3XZM5Nr8pSD/715U3SPbWayKI8Zcy9 JCMyKzIY5ZdWywyKSK2c9neU44C+aD1I2n5hNz0kEc9UCruJieRgFTvKvXriW+0/QC3l4TSApUdm UV66OeWZ17HoCMnhr8D2Z7mOhpIP8UilfDjTanPgsj4mxfk8pbegZsZQKVvzg3q5Ca+OG5fzgRk+ c0LRX/ZgT0MG5x/utljUvZ39TQn3O9wImkdKzv7TMbp3R1WjwUFgd/V3lv0qaliOKpmdGjjRMb8j xvnjzs6dw/GerS7LQ6rbO7o7yNc6Gw/R063GyK3Eoo/0KOPBQ2//h0LnnrBqhZL3qvwRykrZ4+jo zyKJAm8iAWWQ89vIqsWwHJEO/ihlNbLvBbado3jWf4TgCVD5VuewhuA8XFRwLkQVirkw+oKL3nlY ktWLtMxzc9pYuWMuART9cfvbhnR+zT5lMTFAkiR5wy+yc60lDcR7DqRbZxkUnzF9ctTbqjs24RlM rI4J5E+b7MND4b1R7WSUwcPAF3l4agMLw8T0Z7I2dhoj0+f128P6aYPcnmOZeL7TDfWDUQGgPVdx C7SjfW5N4SRh3jJH4OC/Y0K3TA8f+OoUv5ACRkbhuR+DZllXvxFuhFUrulc2vp+dUXgoFaCyD231 NpbyGmmmriIj5XClC5sD9HC5DLLdQTbFrQAu71XfslMlcj2fxTO2eOqfil47OodMQoHu9/juhoEY jnbtlwcf/nrPLTjDUVzUh+k6iA9EaeiTHDdJH+VFZ6k07WwXuXRDYHDCBTp+D5xWL84gP2OWlSdb AIHbgO6yqWWw3AW8vUCZ42CZlUkxk3ciXico95MoBoruEI3A2lMR/oqerGB9N1U6/c/3DrVTHchK A5WiY8Z/PRJBi8FXc/wJOYcVOLyA6i3rHvM1J7TRlRbmRydGgT6iZg62Hx4o57QrlOi91gWeFf9B fSzHiW7EzyRwtfQANRaB9qAMHNMn7EQUaZMLZ5AYuhyMHFcvcvCyYv8umfyBEn0axaAftilRlwKi NHEp6WO5jwuYR1SykfDLg2zDrcTzz7E8eMUIieBlEujoV5M6p0eUXry7OcyYXuJSJH10dS3wc68h 8WKRkl20oM8AXeH+nXnlonRM05LQo68hdDAxueYGwNsNhPj5rmghtmWKWs49ijlSf2xZDuQPXSP+ nbh5OsZJtAAH4h9V9D54EaUP/B/Zcai2C390dGVjAWwt73GNh4xB2Shn699C2tpw0LD38Qv+oYGe +8rSfvn2sqtRvnbEvNtriq4uSukkl3Dczz8yg+Gtrrz8Mcr8BGQ66mSGeldj/jH6iPKVYwk42nPK ezb68u4BkUAs73/mepPKXEAbm0S4GAT7rpN9fpbUuApjPvFfOw2sVWHS8FdCx6Zj3vqPP194D1d8 aujSiC+Sm1xyGikzEkVjAZjw24Umg29yKS7NNG26lSQjzdk+aU/0pOjcQmk1rTyHzkY4FYsXmawy MjPVlVNlASPk/RbsDAL5SWt7kGgiv08dU0yLSLrZ08/OAQk5F4gT8FKT/tWXW78V7rBh2JsNJVhP vHfApJl36pEOasye5PrryGFvzEgWmdFbqq0Ht/ptjD9v/ralZA/TlDeGxb+MqcEeY9zEhs90Yz+0 X9bCDJKNrmecUWDEg1nkDrjpo/7LKQh7FlcfwEvHnsscMg/Z0chVvW0F4flQY6gP4N1CjtG/euLK 1skb7UH7vqlTn8WxySt2tgK/1C983MW7o6WykB1eEyIgxiVMRuvV6H1trRpG61FUzMUg0o85xRPm Z2YTldyHvMhSn2igFzRUd4i5b8p7m3Ft8nONllk6jPZ+tt82SkIcnOZOHXbJXIAcxStEU7lH8tsO 9lCG4tsbTA5A2T4+54H4bb/HLStfulU1Xtq4Yye4cAenuo8J73VXZob+zU3cUUcUs9n+LMfnqE3H 6s093237lZi7Z7y1uA6V0NTid9at6n4Sca03/TBwU7hJoWgRjjWbn7bhUzC1no07YbGxItIwJXKA L4TaX66nmQuvl5yAJQW1DKJhduit2IzTkKyIS4cTp4sVPDmxLC5K+2ThjgNdSvc0iwhdBTVxe8tH CToj7dGpK7+6tPT2U5iq6lpGCQyTe2m1Xs7JTn6RaqC/gozIJel4sv9Hp5nI4s7v3Zuqdaa9W9ky Syvhy94ylNUMalKH0ymBaOyBrNfSjrNNRs0TPBoQDSR5ZOs97Uj+Y1Q1lsMzrKzbCFdWFl61Zas1 C9jGNB7hzte8sOc5EhhdNifXsY/AUMDIMXccKievueMNhO2k+sMWW++oEazJAxCkrg5QJBpg23TU aXD0xpn01+puulJc/hdspsu97zAJ7j+uH2ioNSD/23iDOwGsUsaqYUrHN2/ZF0G0HTcWbhBN6afi 72IXGMSc62SozJMOhIzJsqYOeMKCSVLmHjNJPbWtsBabTGJJGzUzTzg5BsxnpnTrgqSTqLEp0634 pkFs/soB/tvhJrU2jJu2QHmHbbvpJfCQiwNVm/y1W7hqfJGKGVbXpGV0SqPTXACE6+/1Ez90nTkk FSopm4Vkeo4fysB0+ePrcq8QB9nk3XN74S2YKxlNwUn6A/nXQs4X/Rf6Su7azQrqKPanJF7dj3ZY iug/iV06ebvD8Xfgbt7zv57mtGGnur1QlnLFj2464D7MUuB+deB5OsaZd95RtVavpycs+1yA5ByX g8+zvPb1w0768CY0kdnpcDvhTV+E5yYtbCpStoY99uKkMKo5vZRXacr+Fu4uSKH7lC0qUQ9PFTsx 1vwl4Pt70g9k64MFuMXnRGt7sD+TNZIoC49CnWzSkWGSytPfPPRBk3dT2Annb26wintfVv68fUn3 CIr6HAnVVkyjHVMHcafM83MSFtrHNIphq6QOZHKfnnsXKQoOt/LlgFJj3WhOTaR/8+nc6sV5UBvy gZ/biEa2LSROMW/7NqNyOliltbNB4Y2KhjK46K+jjmVXvRYFRW+LlYgg47FghE9Qp4M5RWhOwbnN fZ4csYzV3Clc6p40Dsp5uDpKH+AY+Zy7MJrxVXURPRIsRGRcweBc5WiisE1UDuaERQSU9ExbaWHA 17xb/RBbFabArvVzSh2LuWxhbS7bKtlHtUPOZz44i3WRatAKaZWfEnGuniFNBIaJrZ3uxl3i19uj X0fu4YAzRaDAPoIi+9okd2ytNOa0Owtt+/IbvESR9PJiTwF/Q1qOST0F8R0lCpXkj31UdHP/oSjQ GMCyY1LuGDbEyWxAGNaFkP2fssBDXMNo6FyFDFX2z+TgtvlaYMTTEX9rGSvveVkFhUXD7hgWlG5h U2PYlW6cOx3vt0EyN+iZ5KPblogT6bz8Jnmkfons5VdOFZqPOa28gppip0Dkrjh/kpUYkmZb1kGX 6hfx/Zl/nMd0zs+NC17Hs5T1dmq669BN0NTmJ0jdCb36mujR+IfTorWX357KhXPcciirqzhFuaQi n1g7seQlNGLx4uomKKkXJlAh6rLDM+UKdI2njrSSKc0pGvg0xO2jOItazgjFUxzTVFB9If1NQdAO a7zRYI7JXkz7limYVMVIcWrKRvw74sLCZTAiXSLmCiGqeDq96fsHfBQOOYSMh5wCcy+X+X2yYlQ/ +4uO3X5KRdFtQZnLvbDxkugLnnGdIevhwlCLD57kS6PlYBxuqYYT68WC4tSJ4GKBxlDc4Iad1355 cNXwOsAUoQC0YHxJdyMP51gCj05XjhC7whZS+zk1AjFmt6+XVjtFsUWNDCWo1TUfiJ/VZ0u43Csz 73t1BdiKdcMirMoWMMrokchvSSG/BhAG/VQfYcOtvrt0Pa4x0QZy1wxf0W4pTOv6RcOYZD/qUIUT z+qgFocCHmEAQa0GSlALv4Dawrc/xLoVP/n7v/8BtZJGrkmhPDHYsTc3KMZeb75pROtW7H4Ud/uB dyOod4wIbsbmQ9AtW/VHtQbxVNNvVTWUaKAVJ78VLSKJ6DugWXMbhVVkuJIcAEF6Ggg4dspyuGm+ lEtgrGVBLT1xAXYYWZJJvBM6ZiuRHIEJioNL+0YtopezbS22OjG+lj4Cqp8ITDSy/lcP/NZ0+cNq S7NSSdc1joPeqMfwLv1iOyla0hhvhbPPrJWF1wC8Oszh60uA+ztqjyrMMWs/p5EGNHJgqcLGYJaB u557lp7l9yEYWd1LO2zYotn1ixMI38CQXazasa0SrnmbSLcJQl3nIVEiYKQyRjERNabfickXRGL9 E5KMLWagCopSNdto5RaurcF5qnZftkNiAHq0a+fgYeNaSSk8IVXDk+pb/1IFumsP92wNaIWqQaMG bLDqfN9UvTJf1JaDwIMuuDleWBHhfGqr60umTXM8cJ87qa56Uvf2TeioiPBD0u5H/mXSSkP6HLBl akJWU5t2JVBcoiKglsE7WFOlTHZPRsJzcJlatF+6ODismx38klkDgfPeoY2N2kykU3Q3SnyeBnus HgcHl/viirut6Qhhtwzu+RMk8jssNNiurCzBydosXF3CaRtDOGrp9xcdW2AKWbbwcTjotKm/3XJv 5s9fEBsnpyMYswS0oV//Lbz+FmfF/o13aSEAfb2UTZ60hYO8HJb/5FsxDiwxO5JYO35DzCKALnEK yROx3kvHz5XhvedY/e4++Yyx4N2l72YVv5dVTxhfAzoHLVijIcdWLkaDKPLbNp/cVMrjUPDakbR3 /A0c13IjwopysiOTVAKAhB9VNcBOikVnkWaLT5Wb5rv2PyLsmioqgBxriWaf+tdHHT9JF6PjGIbQ RCsMILnZT0Ular9v1FA0vnfizROd7BasK/Ya3IRSNZFpjCDak5kUfIljDTYPL4CIenk2DQleCwiH aWrsUykFAzEKP/tDCcx0rrLMbPLUVOt5L7ebwe6L721IuakXKoZB3ofQJqzeF33WkE43sXedIAAq qYcnUbwMihPDspnYn5PbgHk166N671Nw3hEIY4nbyLI7I0o5JOTHPGUMyvojx/KoahPeHoctDG+d eioW1Ik4p0f/Ib0jYK2329voLCBjmQIHo/+qYxH2G6OJWVUGNnTJyiA2HJob8rBc5igKe9igSoST lce8LO25tmlki3/C4XwSdu+hgj2sTRkY0Zg2AuVrZgcaPcRPpHffdC1xGVUf/s6p0vtWmORv82i0 RcKT8EMVjruKwYrlSfE1xSliVo3YP3bb7qizlh3TfWuhhYPbnnQLYyRrU0C+0Y9Mp+61BliQn3n1 jpHcZMVudZjFFBq3/gj+FSXa4QMupFn1sQ4P9HNVmXOaf1fJG2SJIvhI5rxa7+vl0KH4uuq10XCc IR+PB/1m/ChUT1O76o9riBsMHtt60DHW8FLamM3FOFc4SwM1zbzij5NCzSrIKWqhwiEhVGY+Y3Iw vTh31VBq01+dU0HQ3ExYEKjzlsLgkuoXGVb2/x+t/yT4ZB05sLlLTW/J+jd+UyhUfTh873xVC6p8 AwFvZ2vUyHx5uIGZuMeeExXuFCpYo1ZNjJyAGCyAb3umxMbjlHg5DChWjB41CWt+hsFeGgDsX7cr uiyQZpn8GrV5avkkcheNIRGR3sfGkXcazhPSYM/elmAV5mae7HK25R8JQ1owza92CFJAuici6bUS 5eunZk8QH5KOSDvJaKsidftT6TOQErSssDmL3FbMiIb05nbbQsWdSjEt8cuMA0HZPsejjKLg+1Sb pzV7O7weBxhCy6IPqKKBrb6UHh4xtRqe8/Cj9BeF607ys/Bj6RqivYdqFXGXHueM5jsZpko9v3JO 4xXUo7ZglotAMr8EBZLnu06W9VndgKki1hiZXsMqTN/Ya0sH/eeBUKo7KYHx7oDZI/L+O/xS31lN vT/XVcAC6yClU49CJds1l2LnUlRcLNON3wi3vi1n6SUUEBXh2csZdD6StWCcPRLfjQB4OBr8LJ81 mgXFZ7r/hpv9nukQ85U6e1xDzlAidsj6Z19FNDmdHZielH91bD/7qVKmntfWDIzqHzJAtpRrNMUT GXS3RDEg7pG5x6hahf0Ar1MPnc7ezC2pa74bq33X6K/e2mkRLdY+zZYyl75/IVxCrZiR53i9Y2vX ImfFZ+wylB5aZqRbXz3l4myhyXKo7J8Sab28BF9uYeT/QTcLSAg3wGS/gs7NfJPE+DIUkj4y00/a ok1Kc/RM/Pdk7vH4jfUT5GfjcBdhDhBMQelV33VcUJ2zmSPQcs5ixjuMPLDwHtxmdyXqPNXod6G9 nLP0uSUDj0aRH3+ExCCwkUOz/9u1c2j9H1KYQjN/0B4l52HDKIpx7iS8ct2B7VoMOUL6VXjqu1Kd n0MdquZQBHo3DqRTksS0PccWxIQYO503sy2iKwmxJZI2+kumOqJFeRJUsb619UZGElZeWUtIn9m8 mFBiS+fbbBgtU182cifdiGR+JJBqs3cQO1jf5j++RhEcpuy0cZnVNeOsgmIT0UQFqfeGfjw+3E6t qyvtsrBkqL069gjedxCO2B/IEo0q7URM7SenYlfruNGDeI7egu9NyHQjU6yFrVMF6YRX8h/9ir0c wOi+ST3noQrZK7IrjmqJlwonEzATFp+68Bw5y0syNox0i5s/Tx/rKenzmxotC4t0FrUBpwzfkX+Y pltqkChKSUaYu8pebrsiKCaQ5C00sANOIBMQQzuE+2evTITRmlFPpGAemUROqjdz5j99p7tFpHAw dwc2YdBW3c3dp6wWOA3wjibKShGBwNgYS9fBZN2eWuJEiHMKB3nDLJFttStSVcFK8Z6OFhQjsK07 ZdDrHR0H63GI3Q6iv2J9CmcFKStmGk69t3Z/NEuiPgyIqQ6IeUmcC7BMVrihQBbUUDYiUosrplMh LXEBI753RRHKWKsNz7qQHucyarIffVZkf8+3R42fvH/u74m9bX9C/ydX7jFoYRiZHTmwLzKX+U1l 1q/iFZesTv76Lb6Xfi3BNwVt7SKLUnJcRg5P3ZRKEXyGrMbpUECx2AraeEOeB+MNwOnR71JdZVdk fAHKLGb7fYoqw9AUs8w4cnYtgziDdpKJDEpMdJH7pES8bFFvRdN3/lDQCtIHlpRL9UkGIw4rQvyC KYPtsbZSIiYx1+Y8UDqAW3U8q4+VTYSnwYF3wAy86X9USC1MGfP68C2vqTIX37WANW9oES4S9c8Y YNzyUDGVrSq/DdtDvUC1T33/Q0BqTIu0gfgHf7z3ko0HW0NSLdlIU3QnyobbYZfGrZKVuyvztlrU bGyewhUcCQnRgrrpHKudhnb/X2JskdwFAXT2Lk7UMc6uJE9kdJlc4N/pimq8EE0IxIYMjfU4bWVz 67H7mIk4ealWd1LFSBfbzVgLniRDuN/H6v1tm2xBcbDj+E3gXroxCdG9a3eV3LWwSs7mHoIJvOxl Elb+WofY7/mXOjRPKb4Xy8bikJtbAWwTVQe4dD+U3fUXFed7YO/TMIQJBM2h+SC154KbhnaY4hjm P8ijBtwojRxeqW6ATCvySRXKNI2iiRtlThyPggXpBSRAM3dKa+/OwKbU2IzXi8k4vSiE1wrajy/z QE1pkekun4jGUPXK+Cv4ruqQlCe1LrrkBX/v1bnB5Ple8uBUnZTZ3TITjy9mqA21KAV2EVS5VnbR zICrd1xzS6RSHjEcjslis6cShwwTZCP6WX0qJ/2+kWFs8oU03rLJaUwnWuclOpvbCScSh+865yan yX843MKekxrzbbjFou+i/A4DjiQf0znQoPhPHrs7EaiuGpMlCDzW3+wLQP+17J/rRhfBuoV7MQ05 AxjZj9U2fBumEr5jXLpk4M9HS1zxgqifvwZdaXCJNkQcS5cssnvE4o0gQK7ZiMbwcQGJp5M0aRao X/3ykTRLlhZ7HIyAHfT2AuF0R/0qF7nvWPewftAx7Oh/CCdCtzp+97LkbR22twU3ER4rviqeOa22 a6KsSbf268+V5li01XHkIKEVDNr6Urn+lhXBxfpfYT+RvED4Oxt/ojiFs8ySAuFd/MUVgAcN21UI UTw0gxbsganfo+o3RttCPHt5j2rQWxyRi/0P9EpuK86+fd9a6y7ScigXkGznvXLbipqjPFA/3rJU yh0zNJElO4GoeNnydObXoy2WsPF4V4D5cfQbSVSDsqWr97mDAsgPnqXC9go9lkZ8ZUkwoys+efRo PZk9YcC54TCdFmwVSA7c9At7kCoJsqAYAZL5Qle1VLP/wikbq0N6PvOzyXMY8FY/Dgr+2kY21f7m 0DnRfipJmzs3SuOsbMY9y7rmWz9zyutwYSuIHSLGgbTlwBTlRhnL8kNJX1+T+UQHm+BmwBBhqeLS QMEfYoe6K6VM0X3Aqw39M7MeAYp8qoQIv6Dq9Le8uGQu7YaT5llqP990mpjSLe6+txltYGBYBgJd /snDZvRF5WDvuNZy7rCPBmEYePoTMQeVRlNx/q3ossMWxvN3j40XJ7zzmTGPfSrzh3cPlhT8tV+/ GJzeK7zpAq5+V0RowXyHZDVZ4K0MK6toNYuOEJsvRVINCadTcXRrR3Rtox4GNBs9MxjbksGk89+k 7f7Lbnb+JPMTZw9TJG0X2dBdrZdsoALhYXWqC8k+iKhg5RAR/BfcaOvtJyWDDqQI3ixpupthoY4P PE9ievJYTWAbBGk+imZHmaG8iaXm8ahf0t66x6UzYG6MZpiA2hm3CVlOQZZVzEQfeudesF25Oibk O1jRpLJnV3odcgF3nZls1Mm8LpooxDoTxB2UjsEzXxWJkozOYfk39ijEzMWlgOSoayy+YOp46MqS DwmoKZcsJKE9TaEkzpajdJkbh1lRfb1j6viKQnSAswkXeqRxHa9lxM9qHCgXY6afvn59rR3dXlA4 TI/szV2s1G+y/i+S9oh1ghjnSYeyyudkbQJD6d6br2IhPo9CkUdkXNrlwdeThQRm8Q7gabL/PP9D m8Td3eeCkKRF6iegnRyp5QpZiUWAkXBNayeTpuwh1i5gfaXu3eqYqGlsOfdB4TfPeH48IK9B4m4C e6XNu8swjdjzhvMnFPk2a9+tIABlYFqhJ7rnMw4OZp9GCt/C7dOHz9LbcE4QFkpigyv16J/iMyrV IM1lt85FwH63u/xgg1TcL9OTxmzgu0BIabe9rKGQQV5+r87W6p0pxCEgxXlZ/2P8vslX7FJWQfrA DIUMrQkPqD6F7oyrwP9+je4T2VWS8zH23/Kknf/WZQH+SimWkxe89C48y+XZfo6s1Fno+LZJkenw 53PHrqO6sUrmhnl4fJ9QT30rX8KOXsUM10JhEq/ZXFq59rMw3R3e9T/h7WJvcOW5BrPbCds57Qp8 nQXP1OOt5ihH2GlOK/SPvHwvVyypYa3+fbxfZTi9FN9q302xtNYYX3LRC0cb+tqgQ5+5fOV+dVrm exuYciLygw9M+USy58j4CCKTaj7PL+3RsozBT/pycautYlXwsCsYF759Ga5tNSrO8SIkhUe8zJfP ILd+InInaopPc9PIoeowO8hfD681un86DYySgSwH2DSYJE/qwv6smjEzZyJc8mYKk4E9JnBXX4vj KnLtrtI/60E0uVqxz+vEZlyd0aCVqmV1ytrICXc8IaPVLQBhtHHe1Ia5/wN0U68gteEKEbhgybc4 FZ4gf/+f0ZtA9Da808zSzimZvsPjz1Se9SClEbgCJS04CjAM50PC26+Fty32cv3VolfoQ4PPGTGV cFlokh8X9Z5khBwwhIW5CcsM1jq/L0kHwnVFcQ4Ef02UMkwTO6FT7lLvOWzrCK8gF/XedwiuNa6t 57pwL8dVlFbdz87niFvsTZ+nJAluEyCuBbKGsCmpGeBopqv8v7ewad5Vt8SHmlUP490hNXXcOTOe oY2ZYoTMFSU30zJpOOtCsfMxsMtGb3Jr9pmTtKSPv5+KAp0u1b7q6s3e4t16A7YgLt7nC1LRY63A J0LbHcLx5uj+A1pk4h6MW4oSpOn0GSWxFYONntyNvnjA1uCRULvzAwxUbrm8yowQ5p4WpaGMoxlR 4FEnrkDtQhb2cTWOx3HFcV7NpyvffrvPctIWUaUI1f/7vBN1si7vIYq3tyeoTF7KlTj9GZd7Sd3e CMDUhry7P5zTdSOG3PKRIWfpjx1k9PfO32yCz4+cwf3egry8hHiTUeb+4fuyNuTPlAwIz+i4rLiz bHATXv7jP6O0z8QNTR+tr4A76GQ0ksyHreVOLmuo2dpGHqO5KauBvzzfN9vdG9LN+7e+n8dYAvai mDgEDHZE/jYjGLamNSyamHM2gOZD4YRhh7hdQHMDH7MkfhTwTRRMPaljTFQxC3bufaZDcbSKT6QL AqBBgMMl9SSLnT+T+D161B1wYmwKY42vJb/pUJeJtrbiNkl5bwfQhKSzig7a9p8Zomy1mSY4ETza CpIhpjGoldvuQNdqn6DJ6e6J244ngaZzY7DJ9BQR03YAkUT/ZQ3clCJqx0M23nfBoKKa6wWXzGM/ kCcofvitRpsxQ3HJP6TDLNREWpJiRiriqap15QC5vAxixBw6ZdlsAy5PRPLyVtTDiryX2avAOb3A tCyFw9/6ytSF0I/YgGfVDJKtP01r5/s5Tr67agt9Fc6jnbAGywHAkKZaWSvkFEGRvpLBoM+iFdOH TsMc2lW49mDtCTJzs/6NjUokNfYKqy5nNYbhYS7Emd9tjpeO7jhpdgXU7kCfM64+rf+du0XGqIg7 rV17QTCw1bInV3uOtBDcs1U0b0EsCDY7vIdRZ9Wb6iwzkY3ISFZjNon91Wbs2Kk0QU5It43FhJUb 95r+QWb6bMj58WeCP933fr2n4yln8X36NSXHQx4vrG3nWc3oeV2AxvN9mnOW9r6D8lGVo+8UE7FC Wf+ViFUoqRBD6lWDegYejntHrhd+ktQwxwP8T44R9H2NMc84LX+giRXc93zPAifXqP6l960qBTM2 h3pmZqIJPKiiBwc3CljyAOae8xv1qPhYfF16SNhF3Kcf+BPBlyTc0P8YpYdKHaRGbBFypmQXYJXQ oyLnM3/37GAmOLdzLL6/lHWw1SMPzX4AYpkdlKaQq8Tlj/qv1ptf83etQ6aahbgjGKD784e4UsPP OqTdHs4bzioEQo5KNwmk4cqaPq+mK+35kG3bWy/kFoj3VsbpujeXBxg7sDtLJ3EVdibg5hNNjElB jypdACPEqP7943t9+DnwKVC5SOqpDNTRcvaG8Od89xEv6bGL6vGnjpoeY7SygFlJ8FnvdOX+2B1s yHkxXCQcSAV3XtpkRnEZWgvz9XZBGSh0hp4QooDTzeOPYZO8L47CC6udYzk42+KU0AOabHOf2f/N qDmeqng7ynroKZKla0eSfA47bVCc9X+fLLfkG4vOTsy5R3NAwj7ygAlNX6tdKpL9DGntwh8z0cD4 TFce8MPF5DeSTCergGwXEwdd6OT8Rq9ejbdIfw8JncY6wNj8ayU3uAzI+unjP3tON7wiO7vYH9fs sSgpdYnJ542YcWgIXGjnzeCkgCgKyFJbeXWf4fwNLsGolYIJxAYe5PO6G0eQChC5CKXcqhEdiQuR cxg9ScgZQQ+GvI5Byq66q2sdkrgEiBxKvomoZU3fbyzA/vtO4kUpxNC/y0Zc/FWu+PJ+FTQtY5eq 8mFPdUH1AmkESeC6BvwDZnR4vZVLibA1KTrNcYtygfjjjsYYBGWrCq7YPPQygoBWqo7v/D6mNPLZ sfOuxEPTdXasHgB2dzH0P9rtyVcGlv3WGpFj4fjLBPDGknNthCKJiOv7EbMOTG9G1KRxJa98tfFL Omi9jlnxcBv2LNMJKfZHG0iLPWvI1QBOk3cpm9DYyX+oui0lEgTsFID7msr8/ysbDg/iu2RobnxY NL6znlHTug1v85ze1LycE1act4ybHFW3Poo4kRNKEu8hmWiryqHClkCvaCG21L/FIl6WayTkP639 8BQsSuxXtlgJ8brvuAB45y6AbRB9JWASl/qeMcOTnbhI1HEN6MAs0GpaydnH5xqinwZeCcSrCIFq DkcNf1y6eE4CLz1N5AR69XOHzZuXxy+25AHI/hdBOhPrgg3CqQ/0yDggMAMpYPZL2ZMBAqphe77P hV7JvpLWsx+zag8ghQQ6VO8fFEcE9JCN6vV+OmO4uvLkId1VPQWX0SzklQtkASD/Q0+B9vIG9b51 ga2n2e8JcsyUccyC3RWRdk4xRd1xdPMeO8177U86MyIG8ZXYlwyntLu4BMY2seYWKRoT2tZiyzsY iA0SriIg0rxnMQww9hbQF9jOiJ9n4m0C+IC5ssz5DEycTI6HcUVuJjg4ZSeFs51SAR7D5LPGRRBT JQB3HgxVF3xM2znzH0DdFLINXojSlJ9LS21S0WmRqmZ6Fc/WdGpnaZlrZoKL8WEUkaSYBfnsUR1H j6maJqTVXu0s+VTRPfEqUA2zb4pOALt++BLWDRQ3gV2D6Xnhvk5oa2KbIkLB/2mbw5qv6nf+6iPm lPJCdGmyeV7vLzk1jBsEhoj108PoCzGbhzjeqjbQRPWjyTyH9DVfmsTa7pGnGa3ebDrEA9ZwvqsI a48wSoycHhV1bvBbR3hY0Hpk+JFUo508kr8UfQGvBcVQnMPhtGg9hZ0FkJjCk2Gcip5OnzFbiDDi 75yd1M8XO2kRBaXBurLDt3TOhpJZ5ueMjkrPGKADQ3fRPxw9AVkvJkghCBnOClpx81qfqV+c8pQM MWKbnPfFyyls5ZbjIJsSLOiKMHxkwrcYAV78UETN0PLNSopTYom6rFcstX4Yx9H9/lZzIaNLm7iB Jk36KOnJE6ENswq0Rnror75+lxesVla/JPrnZa7SuklLmDqNXtEToiHZ4gafxRRCESyjLRwLtjkE Qb+QoxPM7H6EmUpUXI71gYEWae6HajXCdtFAKKcDY2xGkyIH8qh3bKJNW1GtZMMoOeIZghkOaNY4 IqIBzwHvI4L1V/Kpa5AQ23OVrNy3nyNiSrV3GfJA8YzWDIBPW3t/BBnXCQGbqZUAq7o30/e9uQIB 5LmNzZF+HFUdaRL+MX2jTl11lhYbWPFO9p+rWotZmFxV+mDwLv5AOLaW81gaj66oIUrTrJl8rLV3 5CFD1ayajrD59m7LiLmOy/F11j2bJuIInjNHaimW+mMoXGp1nUZ4AD0vVn90EuKBmLr6lbYsFLRA 8Sf/VennQRo5tGiB8FcRTRMVpdEq8fVRuVl5P0XDXMElTo2OT6ru2vmqHOG/fA5QtdPVrMUI6S1n vajHsGolHFjrJY5RXPRkEQns7wlSn/QdzU0RXcoymtgoZZHVZTek42KKQ9dWa1FmGDt/qAjZMNdL REflnzZQQtEkuU8RygO+ZH+NYGedcahX/1WsjoKBuVLgXBTefwKYLX9+j0xDtc1ZD+q0Zjjdt5CI qf2Ua4BlGoLiulAU+iTzmIBpPvJUQnCjrJptmjS+SRo/lTJgGLPV7Fj2BrfWAT6CUKuYbWrKftPm Q6yfezSJblG9q0mB1sWWmrBpt10Aq76kvGSXltHj9sSgU/UUIBtBKFKtBfvMvewqnFU7+aoZnTZr knZtEJmJA4lPJQqfQ24G0Af/I7+vwr1/ihqLdn/2ib0qgj/0G0b7BoFIQdWDz7+F8pOdBGacXlEg 0hefMrznLh+5peExO2TdVvVpo7y+GpFN+pHt5+8l5YlMsIJ0WAyOcgt2Ld+F0PECqRVt92IZvYXz ceEoUeGWo/K/x0u/3xZPzI8pynwMMXh0Zj+KxYh9ZYZPruyE2c0J7DHmJ9CwkmYPa95Q7BlAWqrH nLW1leS+GB9iFkxi3uJyvVtj1JXNElgRanD+3u2SlFteVNB2A6NPOeaXwR2fk1ZbMjzlIpNwzGuS 9EOxCwjBMGE+ZHdWhvlBCfT8kGaHs1gzZgb5dRuaLQD3EbHWiTRfZTTsOLpfaku5xue+JN5f0DgW zaH0kyNnRmeiz4taUbB9BTe5gFrupcnxQxKMYwt8VthAFdR4iCmGVWcM9Is9Z7ckK8Kv7zK/hc3U P8L1DuzvPRmmR1hkIAWvsUOrig6Vr9F3UhsDtXMF6/BY+VryiREhsLPh1Z33F5lZdklEQbieBtHc mH29Z2+vG9l2iEYlxG7N4XNSIlM+L0avsX8QGbYhYHC4R8HV38jeBhk5T5rh+4LfmxXTsUPjno6v 7+/7qvEmRHnk+1yTR9/dZlOn/Y+hZCbaeMIkfo9w8/U2I4i+wxRRuH7cfuubkwaWrmwJolDEFiYE znGM9LT9+ftQh99876eGnG3m31aiODxA5CeFkl5aYYHCe4N+/gXufD8Ps32QOpddi+bCsXReRSze leQ5/6PtTlLxd5kVHn0l+E96gn8KVkrbxVfkCbX/n3/oh+n1rcDBhZjF53491BQGba7cY7p62vRD kDaT2m9wfXy7GrYTl+bYRTxRXUGhF6TFYvVOr4wbZeMcwnjMJHhoSeBT95USnTjEOYzpTxQdh38L KBcfKarGwCBggI6nQm+twTQ6uct9qwy6tWL+QUOnr9O4AcAcA7ux1d8qKMt2YYCLkXO5XrKVJjb1 g5Tn0OFk+w4jPmi9B72PJNn1pKhX6YIOo2JJKZSWhlwvSCb977DsPvU0hciDz2rR62N9FvTm5kV7 6zFCGGstU2r1ANr9Fc6OWZZfA2Jx9WFXs93Fhs+x3bYaVCTGJ1NCdw8PwoYF1ra3jSp3SO8eKD3S 85BM07f6WmekLxrGSXabOv4qCRkUqtUQ47Fo93pu3DNmZf/3alkv0JPj2wAj5zDc9bgb7GyHX13J oEiXIpqB1GjVCzWodTpd+Rz3QwZ9xAaBmtoodx7BR3GQWe+gqgfK9Cv2PrKFu4k9IOxk625kFhy2 2rdb8tyM+0OKhJQxxYRYac0+A2R8zpJkRS/aGoxPwqnRRJDS8hyKKmTrr73yzAlHakpQ+M3AY1tZ m92kA1Brd8RkXQLlp+qn2+/LF4GlzEeu0rqtEOWh3FsvGOUiFJx6VgPTLT8vv07Q9RopyTBjYPkx kwJ2iScyVcQrhsAn57boL9RwhWdHocr77Y4ngsusXGEWI1ZQhvXZPP2Y8x1V+ikZoZkwPUI+AaDT 03R0/rw79+VVKOCIl0Ac1drPHy5hDRLo8dGmtoG/Zc43Z/00EB4IVAIldi6GQ5hCebvoXp5Fx57z xu7RptR9zRrQ//vi5rG7dx2Ejp+Q8iWWJ7/kBjBuFg+bUTpzmZmoYbVKT8wj/sktxqrahN8skq9d GrXw+vBs6qyBCo1H9MnnS7nZ0yc8kUMil1+XQtJM+A/R2W3R3MBf2ry2kGYg/K+kQ3BuJiTM1HCL uleuFvyaB5C8ZIm9eZrQuhOYknqkhiegVt/L5MbsZOSx8Q2oRKGMvR4SfXf8PD6Rc/7VjtOk8PaW wW6tnRoebRAYuRn5x2pFWeDiOK1YaBvwlQdilGeJf3DriVLuUxH1fvWyzjWdnYs7qNBmf+4/o9Z+ mn6HZ+hYQle5l+BOYJClJ5UFFivSxUZ6ska2li+fubrZn5xkafuDPpDl+drz8QQNoLdVl5/bsP+E 6GWWMeJuWhKOl0WuoJqGd/7bPggjKkDevOZ3iWmSojYZcOnMTVcyS+i9zE+HnGBegTxtwlxEl6nr JDelLJL+gdhknoVTWELy34K2yjWqpYS1W0QgBXgTKwg/X34Gao9eNjdSi/Tlswu0Dz24izXfx6YP 953S9KTuxN8TW+yY5XYmNajlm/JIH4titklw/5y+wCLTUBUOlpSrIcRttUeXDYhjYJUUxdTtvLTv aCxqk222qYkEzCjS/bZJ4/tJ3OpN0Z9jUGZmO129y/JN9uNRGjLbSzqxTaz2y/0ZNHEA2BtXXjK5 Pfr0E7djpUSi10vTWlvuVVWBqt8YubEty46ARuwsBqIciqVfDZX70TJG4y+DAj3PfTtvmQAKWxtd 1XJBgcsjLlEu40FHNxvRLdg9EDNh66I21+Ue0LBNvaqDsYoSydQLobtZPKT2ZHiL5gJt2hJWKujL joOjZLzjoDluLb5SP18vfeUfKTTW30xJzBv+FdWyKyN8czqlGMbbp31ikAGO50DJsomex/mQp1CB 4Jb5mNVF6NXNJTjiGb2mIQr5xkYjTUoVVcrvV0eRJ4gh+kd1RyalNyhbSp22a+nHOhzMnMp5YPXo ENqpVylUiOYImBKL0LbceeZR5KMQyAmCI3DjRR+aNSewyI8USHsv7LiZFBH5TUhVMcRsfhqdcRDb /HlwNCHvplvep1ESz8aivNjjReVmMkcMEyxXZNEW8x24aEyoLnItB9IQoSEKnkIV97kktR5CX6XM 3UwLrQY7YS6EaEUTHHZqZnBqmqgo6Bd9Uj/f94V/G5JL2fSMK5KNMvKv1UqaTsOz0cWusg4bL54g DDi8MGPsM9A5jc6chlsE3KTY9m85/qns1osBrdQsyvBQQIh/zCAIys4wL5kdzhv8fxtTdlEcVUs6 mWLEvqalfXhkl3FVs+Y7H99UztQqBRVrjcwVBf4lBz8nSXe7vN2v5G4T0PA1og+nNcgP5tZVA5ty ZkcknDoBbb8oKs0ZaoMipDNjSoByjFukK55boo1MAekOKM2VQvsmM9D0GW5e3qgechOFcO85mhu5 9Ms2/u4i2IET/ymHuTIK3p5oa5SAS4jfcwA21iVF1yxC8Kaxcf33hbiFjQqcT3NQZ2sVRHK8Jx+m XyFhY4JyWKJFaffGQ7y7H/EDtSxnI9xL15tk07sNXCjUWSNZLEmqe7xF5RdZKq16qP1JRGOQf/pI 1V8c2lbywZTqOWmWd1+Az0PUdDz/DdB+2Rv5DNFM9X65NA1hT40Gje1gwfMXxsuHej8J6C7WJYGk EHJSpw+9zscQpfEE+XOXGbYi/Ea1nuG2zjKKnfyZYICSxpASnaZVSuoFQfPkVgFv2vvOYAlR6qhS +X2xH4gQJ1ZTFzcnI53jqK46M2KfpzjLxKodds3K4cgPUOar5o8UybrojnQ86U59BtSIaU/7X3vO YEF/SlzpQAUn2+01a2S0dEVOlAR0QQHRQN87xs7mn2TUnSZlsR+xlR0S2gX5VPe7V3oJXEt4LUT+ +pd7GxzAdity3tQPBClVfzBXaO0lTZwZnBTwdVJDZZIxAw3tRNe27cQzHa+2ph133AXmg7bgYnTC WgGJOP5tZOIZUBfwWUvhc+8Mw2q2xfWWL05P+JQKm/2bXeFq4NPLq1Kafo0cU/x/GTV0peV6r3zt 8u/8UwbSJw8uUhJKa4ka5QTvnbCoZlnExcwpcz+ewfegJx7MujuwP+GhRUfyctEljwxKWpw2LDIU wS5T+PTjEzDAUzqYYgpsOIf+4k16cothqODytP/aFNu8vaez4fkho/b8pLE3H8+70E2OYv33hxcV txAJCYD4z1Yf5Y9Ff3XutD66lMe0gNt0RF9h4Euc1s9vMbrrFZC4UFhasfyTUKsMb0loDztP35bC N6vbDf2ofHz7PjlSawNXsFsicrsP3rvrP36Ryne+4PyP2JxnB3hEVkvGkKO6QVtuOsax7vvxZTwf jCjMAUtpgi6DsEF7CYgxfZkiSd0AbH5Pk59F2jc7hnp0iW+qAF8soDIEt4AMIeoXbRth1Jq9N6uv rrXz4mzZGPahP7ZZaj8xILiePO4u1t6MD9SyCRg93O1Bqhh69gGmc5+a3PSOX+pXmJOd1SPIeqcp a8eEn/zQ2xNPcysDxS8wBTELZbkTsLqMk7/eE3GcCcpsRBuiavgqiKMP6j6qDu5yawBzEmxC9RXE EgO+RPguBQDBQk4fbxT2/UDlqpntKSM4djoOdC2I17r7k9cnju+7OY+dvvUqa0UDOYxtnK4ZE3gb OB4P7evnF0WqeAYd/SN3QZhZR4ChIE9Fa3KWB3oY4/KpZw7je4kZSYi1gN8y5pFBNy/uQ+4TYerr DZ1Mz99b+mlS6AaJpfmVSZVWX+6bvj6yON+kIhbBrLEFFmX6QOuyh8IChrEfkjgkluKr3X6ZcopJ bldrP743HkWwM72RSXQXuwtdPTtPeqAM6H3gRLebRCyuOg4x1f5R0G8DIKIMW9VnNFIHf2V22Ek1 73xJ4MYw3ao5xbF94jGmWl4nhZsPvM3UrBySvxT7OT0b4d/aQ5VDuJDsGElV0yiJ1ucrdMkdCGMM 8CQcc7EwqhQjX8cGTMFw58bjfCurts4NulHxecGC8/aqGNWGDOPyxLu3Mu3HfQsG4FxEZtHFg44S 4gcMozqVKkJGumj+8ICMA+Y+ySb9PHLCdOsvf3x1JdheFVCdD/YX7YkuMIQrdvPgJ6HUMEi21epj a9Ze59TbsPoYb4Uw3yrGcMlc4E1luQyLjq+h7VhJJyb0gkrhhsOZttBr+/yiq2nWToIUzJ7Np9wp G0wIMYvvxA5wKXFDSkewiIACUJtOkveq79Do2xsPPRa6M9Z8VAvczK/RU3fCbX8g5gbgMTt+Cp6H +pNyVa0x1eyj3gNapXrDKeg5QqJn6gT0B+tyYSLvL6xZVwCHcIZANYEDVAZJ1wcxTvJLDSjn92Pk UiGSOpF6gC+YoSg86jq76jQJ7Zb7Mz+XiFDyPchwsXqWk5epRSclSvnYZdysj8h0APe+TnSGywJq kgTlmifGv5C/n/fcXDSnY+dfUEMVKjeSDNrJhfTZMEVytosjsBrykwR5aubPXQRKwDqqUVlHTOeZ 7mskoG76YWrCyP17huMjm4+vxRXpl3i9Z6TkZ+ZUW4IPq6d39iYeqoJQKeRzPuUVl+xobqPb/ors 30RRAhTKrMV92FVNtNPRsxe1RUP/Pvq7Xhr/d849A8dxuHI9BBIpfiBTVPSDDjkPEX+vH2G7OYKK yOJq0gBwXeCuFDpjaTe4M/TSes9wnF2iUYkSwRBUzWW7gh2sNHmk9a7/RM9V7MdWloWN78k4hruh 9VJdunM7xuuAGJIE2BftAOxIJWCzY/PgUxa9MPYPu2sRIBaOJocHONx/Xiwz1OVuCg1P2qNTSlS9 I5baUuaE3S0+X0D9M9m81H6OCCR0C0q8YLL/wXS6byOdFb/oO5DpPqHqxHt2ADP0uuMIKlhZe/tV VNAFDrJD3WHSzawLyUImlBiDG1bFsen1qYMW5vyh62rR0aa/7+ykXs3xA5M3CBDS8lAwzwM/WGKB nFjSccwhWgEXtR0nL85oN4hQZ0WpjG/ZZrmebGXTu9tvLkQxzd26/uMn9s5cz65vfV/813sAxq50 tKrrC1920C3Gmq0nNXCS6EcTllZFAftrv+qap6hsmA/xMpwvTwPMjwXHVtCvLrDueS8M2kz3xXqM SnZ/mLufjQ26udzwhCY4Yhbs2Qr4r7UQFbxVZVSWfpNb7ePa0B9aqM5LxCjwiQ3XQNBMfsZIzVRw GmYuIRDUOdGe0U2gmr1rUGvpZk5h3V/lLuCOdRn+gdBkT2WByyff4NjEBEv2rpPGI7M1AyPZLq54 9QJUooSbQ2LrN9eoWZTJUBQZpuhs/CNajRQkB9LJEuHhQdjCbQsMP4r0q2sfVy5DK/1S3v2Bit7D Z0bduM3866O5zszjaDwMY5NbYsmI+XDKaXBFJBZJQ1hAxH0hDkTpfsgA+8M7ESNu6GJru8m9oaxO fWZ6pgeg8Xv2jsBNj0LHxq3JchnAjrdFbnvXk4xvIClwhnO21B5y8zsKd0JOpdoPZJQu2sVj843U muwxa7DQ739KLS2SHdXoRr0+x1x9xZb3mHW2gvbHHX4f7MVuj+ZhnwPN+ctlqc9unk7vFBrJ25LX 5oB/Jnq4dTyTCGv65dkQQ062oyrE0l5/rOmtlXVezhc72hzl7wYLXEAx2qeh9Ed3oykMimo3F9B3 jcjwcQ044JZNUpJU1vlK5lkzjx9KQDwAXhjcti7xMZXkzR0wjluzulmxbFxixSl8OwlYImjKNp1G kc7rr9p3r0T3FqOloAvVMxYdUS3botwLEtS9ppD8I0nxG9ZLSUDFE4uaVRIK4Qubp+dJTGHTuXq7 c2/yCWigkOgx8VyVSKGDqKeNdpwGzinwS5M3fuPFQ8Yvv1lQ35V6fHVqthCFJzDV3F9CsKEASdM5 JsBqwhNFGNyUrLpORzXmIPdlifKCBd1tiQEiZoRF9aIIp5Cn1Wf6cVJFxz5sTm+vHhvgsnQh842T 4QiEyIL//bsAs9FFIfdhCFIHXn8I3bH0Wi2N4wK3v7pG69MiF/9i/whjHRC6ihPMm+eZBTwY3VNE CoxM7eXDQf8M2PQs1X8Q+GtAbBKIA7lzYFD8B3HRYI4bSDUqWN/QaZGO8T4sM4Lm6p4g1EsfHjsj Ig8F0t2FEg+VcZ+1ytBZD+gSOP5oKEt9pfIMo8LhtjLPLXYol0t4cOk5G37s3XCBts2j3vb1DvDQ VQLB+W/F8AqFQ4fFrMfRS/YDaBIf4HjRAmSEbkbJNuDdxMHjZbTaIk1Nxhu0pbOC6OVw1lAlZAvs jg60utl1cUCvhYmpxh9y433admZ+D9hTfHLmLOu7SH4DcSPgIRO3sIAVZ6l43L+Cm7MceZH96Mpy gWLX7SDHXN0gbtZjfUtImHKRpsC0KEX69OOMPfZdmaXHnkJueJLq+x3lzpVjpEnYHvf3vidWXxe2 uvSzaPzw6XAfn2zzVH1RJemqWuW5Bk8RICcFvxZE52348rFCapUvOiCTBGb5PKtyy00nKqcrQQC5 BS8BU7ui1YcNUnvdj52X2GUAFXmKk9m54rOQxR2KgH5s9hcd/p+hLG+EKdeSDmQ0H5HRL4X86Cg/ JgqefkMVmRlhv75FCj4HVPLJwEB5nDkSSZM4pgR2d3IdOdjlZSOCWp7X1SsKuay1e2io73vuQK51 /k+pjUf7WcQxzZob9ERW3+h0+Udk2vZSbtIWk8TRfNSbhiGPAiKFQmjYNTTgm8HHP+HzvSO2SLdv hUUkqyIraFtvCajoXx/gOnziamqMeU+LM9vB/BlbPLTaGUDck/U0O4mChCfK62MJL5tyDQw/fKfM c1jPe99yV6XYrMeBI3c7hZYURTSh9Vbcc9kQJZEazn/VtpwnPwOjsXw7NGAQI5TJFAXQOPNMe1KU +VKGv5iFUo7TSup+mcr8L17jeYmRLA2D87cy3syGcqlyI5Cn0eQ3NcQqvDD308YtgaW6bdxzIfrh rmp+QbeCHjHFDaPcr3TlVn7+DebBbdeASkNy/BhE4vXq2Ay8XxzPuOQsT2Kv+vKoFLeqx/YeUJlJ darZSyFJVZGQIIKTATS9bThdOWWax932k0kR/NVn2De1As4DveTJ2jrRxITqDMleAvXUz5byXIx8 FSDHOFg+9OTh9ABX8OeCVylAm3qQhDon99Q+M6wZkxwbwfdd7pheUBIMHTgc7gObV04TM9zFxUdg nkeAHXUH0Ki/hXX+At6Gzddfj7ChMPIhZYrQ660SR9gKfFsZV2sThSb9Fbg2nxNwYoAgJYey4BZ+ lScRPBfY5dqLiY6MzhFFWFqogfzJqDnz84FzYYCdCGXkTyp9s7arqJCyRTZdBjTePEJbPrBCFDQj VcDKcZxaFEw1MobxXuBniuko3vDjHy0iLg6XLz2cl/7lgyW6AuT/z0nC4vVq7XztfAD8lttCxaa2 h+yFjQIhQUuCz4lO0m4VNVQyYtQen0OVY1Yj57X/THVfPQ5xuRqTKhmee2D3ag4ArdCtZNwE1/i6 61qkpBw31YgppHQavqRp3IiVFm3oor2qetd+Jv4fSkAUGTJyXz2CzuK7w07uEi/n3gu6t/iuDUUj 8Zfju4rfRTFa7IeRQXb6x6CSL+qHWigG6DkbVYoOH81PW2YCQ8BkQpkxwAhpb2zpEyKKFDrzeETq P0U3CR9FSxkMW9bFGzpyEIEZ2RIegA6smXL7pJ9w1ZFexcQthlQz07bihufEwWJmqRgSeOYzHI2Q 6W37J3dLXt7Ua30lNVSAQKQT9FyVLaBLYTF6Iyw8B6XS/HEqKf+l5QOn4GH9xW1O4XcaQvrrmJPs d82HrOHY9CBbEA218oR1ZBYWPX6SjRrDMJn9atUtuVnET3tfCa8PnobtWKO0iRTyFxmtItcgp/F4 9YRzXrE67J8R5c8Yaff5H9yp4WTH1HuXtUskP8UJW92niIC6n02OzjJzm3Eht1++8dmL7zId0MM4 Y82lPUWaKBM5BtZ/Y3qUeqnfpuJ7JvhkBrigleAoxklQtDx8zRjsTyPEUeVZ2gcc9Tn+NQOZdBEV WJ++CuTs7aARt0HaXcZTlvTMAJmu/8EN+mm30UAOshdNfhdkiN5yvYbSercNHy8w+3nhz6hRk0/8 TmWSvnLuyhly7evcfBuCFf7Ttwd/3V0ggeNeeAiUbCbSXCDm6bymrs67wxv/nmVJhAQ1X4vG9vnW jr1dSu9Lo0RrZIKV2NW1ucHagTS3sCJCkKW8NrsL/OdUpmtJoxxjyI+8wAK+vAXsEGHfWP081f4r /qRoGcfKQkh1S42gl9f47biLCHQhosc17LjLU5mFcnViFlU4uIhkLE0znmpII9Xe1He7MDj3brMq oLtNnY7q4Asun8FmH46WXR80eH3c8+N64zaVANlN3PH0TgqE4lfMengnkwN0KnZjd3E3UO+VCi8k YRrpNgibOz/HqacRGApTwx9XmSGqC6EqltAF35C5xHyKB5gR3pdhbcE6X4ZiloJpSkFDYsl4KFk8 FzpDZolQKXTkpv8lrYeLaO0KMWock4yNSqZ4tUKvZILJB3eOaESsQo1kqOvwfSPiUZ/3z+5qGIk8 oaXqBLKnICV174j/w/7/Uoa7jwXIW1OIAVzP/idVEnY1LphBs+f3+Lh2n4uAGiPme7NyBoXKnZv8 p9PuKh6WAx7DtMrFApQYhOR5DRO0PdQq4s8cl2Iw0d58TTly4Mzw2mQDx0860Jf3ivaENujkzC/+ okWQGod0huVGJX8vht/oVvPUzm+dqedwGOcZqZPargu2v9D1I2mAxNy8D9MT3xJXOemJqesxDbIo rcuG14WDpAdOBMDZa19Oxs8UlRxX59HEQO6TO7dt5SQEBp9PQhr8GB3SR7m+rFv1aalJN4vWZRD/ 0rZCofA4nQNfiuBSauHGFX4zOYGTxTwIqOPhaqsMuVOGlDXanIiPRSvHoxF2jKPGUgqVD2mu/WAh I3B7PzCSosKd9/uLjrG5KLuGstID8Ypq7sVGgH4ldB+jXVhkz+BGcFt2+MZNim9ghlFNvyCOSZ3N Je4zqbjHd8zqI0dyeKkzDx0zdbEa0K95tQEhxuIMHbpMJE6jphqhLfGqP2p6fO5sYx+Sautr8DfQ Sdlii6ic47cXwamLBvIQvas4Qy527rUzpKqCuU/96y3AOt6xfAW5NcNX5uLbe3immECw2NhWJ0pW uzPh446V3SfYYZNSVg0dwXN0fRKu5NmPVYY/WDQF1a3JFDtCS1vW5EmWkQznjdNUyRpBtbiTPDYR 0q78Uf/232o6HQnr8cjpOKIAQiYnzbPXt9lAK21482J4/TScegsOnC6ui0H02QNkY1t5yXbIR1HV IuUJatinKA/F7obubMzY+TmuxouaRDwUGdLzdEwed5WN848yZf7QNgh6QoyJ7pskcrTMFdSO71u+ MCXIIzPuUAn+QRxKyk+6GDBfH2O9APP5gHWnq/l3H50csd5WmW+dcUwGChjUtHyp0vmCEhXCEkXe gKqp0QAJ3ejLv68eG0erPRdmZyr3OPqmsna6J10ZtEOJtuJ1+mjXTGD1OrNjb6iXaI6OA9mgyrzx gHzV/KYwriQ4qOEMnLMyR2JQhT49BwM+Hq82L7/HqsHTHPQO9DoZFubjHagT3OFdRgwJ1ZpwTfZg Usl9LTI02aN7vPiKse0thZIqJDaEAEfZ0zrD0aXqjBrDd3I//vtFp1T45IgvK4VK0ogRh865UuS9 P5aMGxDYn1FWJ1flliL9i52UE4zdor76PFoDw4aYuSykfA0gSxXxj9O6DsoRoKlYevyegZM0jS2q xdxYl6VVmevMiBXzFzteqpilINkQ1L/+/CvW5WCF7egUIfaRfRxh/t+HeK8800g9rdnENzXAwY1H fEcOrXhVe40CxTejNwUFJN/UDzG8C9nUQ941kRvlwQdKaZi7G+0uRkAbA58UQk5PPMHYFrfp4kEB 8OB87Vlfa3bY6g8oR/bdydZR3oTWIR6mH/cTfz/282aUQnMFcNc1LsUcgbRoJg8xe/sTlen62M5Q BTOFzIC37k7PFDctidni0UuXD/UYdNm6mAKCcs1auIbeHhP77kv6vvWRa168glVEwY1wiFa0Gl8I /qPxP5W8pOW/3Rd3A9H3lam+KivWptTt/nIqYMwj5ytkO9EmHNXiPELr9eFsULb9dgacvDRP6ibO Ki1l3yPZq/46HO1CYHRemhOnBWOKtT5WdI6boq7rWzLXPrObe5fVN0MGE+oqwstO3zxfauNBYD1q JxY/sEfqWXLxgXhWI0Tonx4vTFVxJwuMA3K3CUkpXtAkKEFqqbSvFHyRK7ZFxMt207GixhxM65DY psx2HqTwFbIifRuf6UggXuUmwciqYbLpMPFuhAZMGvL8LBMb3mqt9IcGCxOAXALz8i20En1k3cTg Q3RGa0kXbkdCrBiPvtXdQpDBgoJ6ehjVEQ+EyIXouEu2QfzHOH1QdkHDaDTgslu3VF0Pw+moGFS6 4l0IbJPWfnK/VWoLsw9rf0oFeqR+FtNiTUYqmd3vYDLS14hXZjkYbP70l7JwgihSB/ZzP1LwcLJ+ lN7+LmNgxB7fCtCJ0PXWa96vAKzGe7vDc6zXTcz0pJJ3XEIDHQwlyITpbUi7txwP7ZnOEu6AJUG1 JNsFtJCfWDlljKFhBKSbn8sQbbXpFkQN3TBO98vjFYTyov/zfpRrfr/vpOImVDURQL87HAWBm0zB uqqd/dsK+OwID7HeRW28i+/YkSp8L9OFXqermMiEPNZGrMExijAoR6P6T63AIwykSe6HSatmUFfP braCoqFzxb+SdpTdhE6zVgY1w/L7iT3TlYHg79c94IVvCxqcXxzvLi0LcLxnUv5zXLUh2veVZaaL sdFX6bHu4XFAlZwbqlamIq7lGEyZ0CZZORUhCHz+z5Vf5Gq9zOVEjMIl6m2w1EVHS9sItzu552I8 7EAk1Wf9PKzyAXIhs+LxLuwqCDMzlpGYgvj6H0o021h/tL/b6OXGCxXoRO4yOcbbZFE6/7o/AmHs HHqof5Luq/J4zr9BF8Ux+raVyAWx/LLbJxF0MSGwLW0Z4Uju2VD6ZgwD7Lugtb7a2ZShyv+MCyD8 XPElYYsMNzY/26Aal0d+ecfBIKCGRxerKLS6n6UA+qnjHuh6IIybEa8UKee0jny/PAp81bhUHFAQ wqhQhKTn4Jy4IRbcnpGLBj3UgPjbXhWAob7kSABcL0tqbuHRvYBn4VThcdQt7q03cBzbASsC8E/i bAh/1/EXw1D+6PvXPL7jtyUd2cSN2/sLSF3/IIF7DU8q6tpv6bU29sCAf9taD9FCxxGN3qOQ0azl wZaPiBxxrEckbd2PAimP/v6K2OFRnzCjJbuMXXSK361cyHHvaPyXPXNbOSm9tG1+fntjHcKY4Tmd 5Yv7zBXGOsZgW/F53xio2BMW0/QFQ8FoKJVHOXpVDEik6PB5LMADR4mVl0A2utuJkufDCNLi5xg1 f9kWgghWjP+qrSU8oHCZ+0f4Leotee8iQRirKNgat/qNjDaWmc79QSTOlB+kdIMljrvUYLf3rDu5 mXazSwYh93HzPsyopivGaAeP6rA2yQSqAprSehcNF0EXToK5Q2j42e4p6uxvpBtOAc+AAQ+wfr5O Qtqp7ZwAbPyjuzRCxdAfOSdhM+2CA4Gdx7xLfeTlWF6Hv4kmoIjqIFlC7eLrEgLMBOIleJ2kXfI8 VaGwJrE9/kbBjkp3yIwX01LlQ1XLcubjpWN97WHGVuuHXpPk3bROrfS6cTeHYj/bjo7p1kL5niz0 AYrmDink7WS+ejUXhPmXdZcOzINSJuUcRZlM9tEAHR7g7fgHkTLkwmT6uBj7/rjmxIhwRKmIaVy8 WbenifeDHZeDVhd58O2lh1ATeo6ZN1X3gU2dMVk8HK45QIxS3z+kAnPClmKzn3Jjs5KobaDjOBQH uju0VM7KZ+LDBc6Qvrn4SHgR1I61pjeqU0gOpCs4noQqdpcy8fT8NhnABlkeUzkwYninanOuUgR/ f84aqU1WXTIryWH1MyggK1deJpVdVti/t9CUdEjlFXNmlI6twUdRUTXCaJsAEpDQ3ZwTDDBrtt2A Mx3D82Y1+hyyep+XY1kDeSEEB9aB2eLSnGQcTtoQkQZmUBpFT20ZriW5xIjabbylve0Gp60ciLZd +k3QNbqTsicloQLByb8CD2hlrJ1Y85gz3GJNv4gbaAZehupRkMBAgKfrTmrNVgdeaecSyrRx0dkE VZrlZunvO/Xv3YDCDoB/NLJsHpdVEDfPmZXLhhxP/C87liVsrflPL4IFyKMXzfg6VCnd4A/Jzv6o u8W0FaA3HMlJyXm+ZBOV/fOh0k1kf9J/3IEsK4DUz5a6mmuLtODz+Jx9npc054H0K/ZmWg+weCdy 21HuggeR1mD/FWgx38NvqUcbbLWNU4G9z/yC0m1aLwzeHYShEnRobFqsppGI3Y6w8dLKETrsWKL7 gu8gCZq9bC8A5gbpBeP5TBv+oGZIM9xp3BmU/csQ5g8L07KGzOCLk9FnyPTBKzL+Urpnjx9wQqqh QZx1yXuZKuISOHZGF6oYSWxahcJRYNAuzN5fW9gImRNfszWS3j1ViaCidh7ZhwSDNhrHaWqVDFxh VcUK5dO9VyctGUQgdrLddPs2NzTh/kM4FQjoZJ78bZa4d940myq+f41ID3itpbAu/kNqTtaaPzjU gkv8q4Ew3c12exfKO+IdKsSwQ7K8Bx2aM3+aljtLy0Pkaa2ilLf5/goGxxfbz5kn3iMQF5WvqJ5J AbfVvuS4osI4KrygWG2tAdDkLBVZI8uEbxi9Bjjoh1ZUMQxedqs48Vs7XeVQsY/9wWQnuXgksqgj RH1kcbPgXpLnmIBHFy/Q7EYlHX5Kxe1vPPGMroM8THQCeC+s010m6fVCBozDYqJmWgw9QHSCt80L 77CkYBGqB+cLudIg8ywkyCVfN0gce7pUQTmtXqVcbx+rdR7z9OZ32L3+/4tBxmag+z87ALCH04O/ lVylgvj+8ajVoR9aAJ56OsERqc86F9MAqxqCWBfSeSNmnnTygsbE75Tchdeh+XrLRvIfMv2ZPVRh Pjo4J0Y41NqSL3sdTo3Wc1AA/PTc+cY1WhOx/ssQYkX0Yuslpxr4LZsDz/jQ2G2EB0iFUpbMe9Li f6s+AEcwPE0zUfHb/05O5Rj6RWrGCcU5LMMslQm6k9L+zNFdvI6833iLgOCekSvGfOArQPdENdwk t9CNydCojddGjQotUXL2ayI9IyJanstZwOXqI+qB4Y6xiGmrCp2BBrwlIYOsytfHCcVdvsVZ+riD aKt7kczvLTnS9L9lEFh5tRZPz/nEDtCLB1bwXD/K3Mbu0sHx+B5ph/jjsYiNkGykHl0fG0TmQinD HwKhX50NVnsyLD+hoU1Cb+Ijvsj51zn1ucZREjSkdWui3ucThjQPxN1XSNUHs1866mW6LUjs9wbF 66JOLq8vhW0TpfejLKoeX4p0Wv274BlHugBol5i9jZqMjd2NuA3et/8OWmN41WuKCApnke8FmhSD IS8tM3AYn9dh3X3Gf6gP5JDH5PqnIwgDOQ/Uvg7CNwcZH445vn5NRrxuCvAWHu05LVwyRnft72Wx E++ZuN+/sJtjvQfnfZQvDeQ+e+oKDWRXch9oiZST9TH4fyBNY/uDTK6CsCYy6CyLnm4oCWvbIpmX a8rssf72clpWS+Ut0zP0ke7JqwH/gI9fXQuL1kJHDKi+2zdzjh9n4vaHUWdPQSjaCn+EdEwEYYDA Wcd7thyJ9WBxDHl/W/KFqXI06bA6rvtJJfKovGfCXPzrMpzAjB5as9+F22S9ModNMZVX5l4HPKG+ Vx2uTHJDnGLvQxjKB7655rLA+POUf4+FjBVHATnrY+LWy+JPgvYKJSMtOSvNuKrx7POicXH66aEh hnZ8ScxIH4Hv+N4HIf7RSlaHMe9dAySpppqw6S3zTJVpP/Rp6IFv6qoKNK5Y0pVouO51t3GVW+Rg fbwP9VB/En+10Gz6DP84giCDKj3jEhGLrY/P+yf00LCzUXchxJWQKMwoC4FvZI0VGUgCcMbLqhRT dGLP/SQVXwuo/Rbh6/l33mhsesEWhEoYFs4d+8oeGwZpyfAqjL9Aifp7HabgSUZQlB4Rh2Ii+DAQ DylYMG27H/EsxDjMcnJo1L4w+nh9tDoXBs1nmU5ItYfgIrxwEe/IFTdkPkoQrO+rbUjFM/XH2TfQ 5CsUhCfDBZ0u/yqjWc2oM6DbdKLK4yPlaPpU/TeWd/JfZq2bHvdXF3SsqyQBelL/qBUQk9rRXSJ4 BWOPDTxIYjpYL3QeZQR0HiPBIe+fUhwNEOmabAcICrsayh5CKlYLbi5fRcTBYMcPxwDy8JV3iqzT +i3g7o4DPxsAHK7VYU1FJe7031fI4Amp3C3c620sA0PNoib/2yT1gz235y4hUVmGt060l5yc5pWi oHYiyMX9WnPGLB40XscQIraE9NRhPKxIZQ3U/5TJXVE+Ny+nqwvbspSTFlAViTuVkvBu08U+IRNJ +FljzUopjCYCKb7Ml2bYqW5SkvaPM6dTFNhxiNV9ecfrcK1J8WOntJtfOuLFG+oMPvhqHT93d+TQ E2FvhVEsIMK9D+sfN97XxDv1x8uzsi14qc+pFPbiC7EGgcBa8CoC970Zdto4euEW1oybj9sylZEX pl6zGOEIgt+a+S3gYKnSf18xNxK50ctUSV28YghwEGYGiLQ2Iu+S5n9YuLIfc9cKSLih4RyIgKJ8 9iOrIYJyrH+2l/9NybkPC3QKMwehC6xILnDj5pXePqnzj46+dSgHtAiZ0fs0Yk5PbnvxEpY4p9rC Z7uG4GQeDWH+seksuLwRdPVtnwhlyZ5rq0yNkGAUzuhbjxB57cq82sZ5ni7xYynTRhi72b7ORSGG OdYOGLydRVgbpJZ/PifIm7+iNdvJK736ft4+y3We5t2beHqMP+FBKtANZh2zO8t2nkL00U9S3+96 FCj7wnIOZUv6NWSwZrD/ERmsKci6Kmh+QDjuXRyay7HRdAeO7CvxpbGpZIxCmTnGr4AL86W5fxVd COZIqPaueaCjktvMVPQMiMd2IOnJkNSd6P2uZJ3PCAql5hwfRpcfX6nmjutd56FVAUWYEKb6vahO g8UekotUPgt0pNLiNbOIewqa3LVES1JEdJl0/rZNmh44Tjy+IatlYQNgVqRnhgEQV7jPX7OabkD7 bZt9IuLA1aDtYhK/+tuRgqYr+k/VePzIlupwYDEmw5UX1t4YgjIc7mDhy4c3syWz+KbmU0eTPu2F jQl3JxLuyiwGx/+K82qkbvjV/LF1ll4VBt0RwTS1f3hmBzZrN+Mni+j0ZbVPpYoz6b5698YnOk7I v4qAMxh8IUjtOBAS/1vSuq8/k6E8ZBCfGSKjfJ6ck2FzTHY9cWBnppYPdWwwjhwE/bh8gXsoKXDo bnKHltuDmsy7FahEDhcyzIOWhefLEwNPxLdC2Q9hHoC1hQNPD5LcIEgTT6FF696ei2Q0JHacDUNL 7oxfchdYdedL2IsHo+rb8BQIoMm8biHkkFJdDSRf79zwoKLinkniAu90rrGcX9OdptEdmvDBhhS4 oPMpMyjpRG6w2Kw+OLVNhLitQqWfMpFy+b0tO7g8aik1iZHWPDX25E5kJp1I+7wAUmHvzv5GziPg V0RjjcEJuMJAETrj8yCGv8MMR/lCRv1Ogcm2MYtLWi0XMgFUaYUTMp9EaRMM2bG4/le6HNm5BQoL 5Wag1LnfKxRf1NNUZfNfRA+moMaT81siJ/HcxKKY3jcT7VmqEM4xILJo/z7JTDAyoW4mcKyS6ByO Z682pVLnudwJ0IudyCCbC1W9++e6Qf8dWfXGfQmxKbkipNNHo+vO73JTU6OodDQcGUvaLW/3mvfp wWoI910MBMF5Q14kVWxpz4xTqoZ8kCZwFM9VYSRcS1JGXnX0+8Cj/lb6SU0d1Y77B3xImYt1AOP4 HaiYfUKYMzwbvIOcrQOT9D2OwmZIF7jy3ixqAXB8LYoVelqrqiEBd8PnMh+unpug33a6+dObAfzX IeLkw3QxmD/RjScbMEnkovGY2zeR+53QLCXoMEMuyuc151RugfZ9bqVKGxMzwlzKfH6cQGz8P0pQ GWbkw+cxsUgY+WL4K7Ki5DCp4cSGa/iRD7RcvWLliq0HkoL7HjUMn7ry23Ad0WsSod1pBCuXF/pw B5S7ETu9mwAFdtrIaifY6bBEBIcskna7+vOphG0xyCQJmfLWagdfy4DG2ijmEzb36mIuPcncqSBB D/TEbHgMHt1dHV11jDSc24Ob7GLI9jYIB2iK1qkohw52fBpiWbFkzLyZat3MhAxjqAx5kD21Lxj/ WkdDB1/YkQ7S+Dgmal+5oUBoaF0PkuKo357adwSvQjz7kAEN8QEmjfCAdt4AoEbElrCmWauhY4AU CEhmhFWgwNe9EUkqhFXgW4MubnsSTQNLot7y5GOU1XdlCL2rIts4WYd43CI5g98DTn7Rb3mMXLc/ NDLatYP4dzOBUJp4JYwFfkQiGNeL46n0wARRICUln2wIQU/uiBV+xGVOAojWQM5PwmJZv9wnPEJ1 VTt5mlgjiO1/eAYr5ymyqEE04lnfdVj1pu5lCaeWMy0r1TxPf6ql5yE+W1ddBThKwzmiPE1QHOhc N288cqUfCXOjbaRJTgHD05qGoyml25TGF88v8JAhU1EVcnenuboJoMv0Mcd4Y5j6Ayzb4cG7KbUx wGtRYdkmFxH9kSX1OBxvVFMvwTdX3DM0Zga6coChCEtG9WDBSD/Q6Rc9jgOwgfEwH4Yj/zOC7XUB AITMRP7Hf82uKU1e0/bfx+rcB0NT707mQ3CreagB8p0DnBDzIE10+Kz5SaVfgqnNHr6IgIlX+k14 M39DgD/jS5jIvA== `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_rst is port ( \gen_rst_ic.fifo_rd_rst_ic_reg_0\ : out STD_LOGIC; wrst_busy : out STD_LOGIC; wr_pntr_plus1_pf_carry : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); underflow_i0 : out STD_LOGIC; \gen_rst_ic.fifo_rd_rst_ic_reg_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[7]\ : in STD_LOGIC; rst_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \guf.underflow_i_reg\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_rst : entity is "xpm_fifo_rst"; end axi_chip2chip_64B66B_xpm_fifo_rst; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_rst is signal \/i__n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : signal is "yes"; signal \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \__0/i__n_0\ : STD_LOGIC; signal \gen_rst_ic.curr_rrst_state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \gen_rst_ic.curr_rrst_state\ : signal is "yes"; signal \gen_rst_ic.fifo_rd_rst_i0\ : STD_LOGIC; signal \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_rd_rst_wr_i\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_rd\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_2_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_reg_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; signal \rst_i__0\ : STD_LOGIC; signal \^wrst_busy\ : STD_LOGIC; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP : string; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair156"; attribute SOFT_HLUTNM of \gen_rst_ic.fifo_wr_rst_ic_i_2\ : label is "soft_lutpair157"; attribute DEF_VAL : string; attribute DEF_VAL of \gen_rst_ic.rrst_wr_inst\ : label is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 3; attribute INIT : string; attribute INIT of \gen_rst_ic.rrst_wr_inst\ : label is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 1; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute VERSION : integer; attribute VERSION of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute XPM_CDC : string; attribute XPM_CDC of \gen_rst_ic.rrst_wr_inst\ : label is "SYNC_RST"; attribute XPM_MODULE : string; attribute XPM_MODULE of \gen_rst_ic.rrst_wr_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_rst_ic.rst_seq_reentered_i_1\ : label is "soft_lutpair157"; attribute DEF_VAL of \gen_rst_ic.wrst_rd_inst\ : label is "1'b0"; attribute DEST_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 3; attribute INIT of \gen_rst_ic.wrst_rd_inst\ : label is "0"; attribute INIT_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 1; attribute SIM_ASSERT_CHK of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute VERSION of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute XPM_CDC of \gen_rst_ic.wrst_rd_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gen_rst_ic.wrst_rd_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \grdc.rd_data_count_i[8]_i_1\ : label is "soft_lutpair156"; begin \gen_rst_ic.fifo_rd_rst_ic_reg_0\ <= \^gen_rst_ic.fifo_rd_rst_ic_reg_0\; wrst_busy <= \^wrst_busy\; \/i_\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \/i__n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"03030200FFFFFFFF" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I5 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEEE" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I3 => rst, I4 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFF0EEE0FFFFEEE0" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I5 => \gen_rst_ic.fifo_rd_rst_wr_i\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000C0008" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I1 => \gen_rst_ic.fifo_rd_rst_wr_i\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => \gen_rst_ic.fifo_rd_rst_wr_i\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, R => '0' ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gen_rst_ic.curr_rrst_state\(0), I1 => \gen_rst_ic.curr_rrst_state\(1), O => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \__0/i__n_0\, Q => \gen_rst_ic.curr_rrst_state\(0), R => '0' ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\, Q => \gen_rst_ic.curr_rrst_state\(1), R => '0' ); \__0/i_\: unisim.vcomponents.LUT3 generic map( INIT => X"06" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \__0/i__n_0\ ); \count_value_i[1]_i_1__4\: unisim.vcomponents.LUT4 generic map( INIT => X"AAAE" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => ram_empty_i, I2 => Q(1), I3 => Q(0), O => \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) ); \gen_rst_ic.fifo_rd_rst_ic_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"3E" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \gen_rst_ic.fifo_rd_rst_i0\ ); \gen_rst_ic.fifo_rd_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \gen_rst_ic.fifo_rd_rst_i0\, Q => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, R => '0' ); \gen_rst_ic.fifo_wr_rst_ic_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEAFFFFFFEA0000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I2 => \rst_i__0\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I4 => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\, I5 => \gen_rst_ic.fifo_wr_rst_ic\, O => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => p_0_in, I1 => rst, O => \rst_i__0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\, Q => \gen_rst_ic.fifo_wr_rst_ic\, R => '0' ); \gen_rst_ic.rrst_wr_inst\: entity work.axi_chip2chip_64B66B_xpm_cdc_sync_rst port map ( dest_clk => wr_clk, dest_rst => \gen_rst_ic.fifo_rd_rst_wr_i\, src_rst => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ ); \gen_rst_ic.rst_seq_reentered_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \gen_rst_ic.rst_seq_reentered_i_2_n_0\, I1 => rst, I2 => p_0_in, O => \gen_rst_ic.rst_seq_reentered_i_1_n_0\ ); \gen_rst_ic.rst_seq_reentered_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00010000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I5 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \gen_rst_ic.rst_seq_reentered_i_2_n_0\ ); \gen_rst_ic.rst_seq_reentered_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.rst_seq_reentered_i_1_n_0\, Q => \gen_rst_ic.rst_seq_reentered_reg_n_0\, R => '0' ); \gen_rst_ic.wr_rst_busy_ic_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFFFEF00" ) port map ( I0 => rst, I1 => p_0_in, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I3 => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\, I4 => \^wrst_busy\, O => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\, Q => \^wrst_busy\, R => '0' ); \gen_rst_ic.wrst_rd_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__18\ port map ( dest_clk => rd_clk, dest_rst => \gen_rst_ic.fifo_wr_rst_rd\, src_rst => \gen_rst_ic.fifo_wr_rst_ic\ ); \gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => wr_en, I1 => \count_value_i_reg[7]\, I2 => \^wrst_busy\, I3 => rst_d1, O => wr_pntr_plus1_pf_carry ); \grdc.rd_data_count_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => Q(0), I2 => Q(1), O => SR(0) ); \guf.underflow_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E0" ) port map ( I0 => \guf.underflow_i_reg\, I1 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I2 => rd_en, O => underflow_i0 ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', Q => \power_on_rst_reg_n_0_[0]\, R => '0' ); \power_on_rst_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \power_on_rst_reg_n_0_[0]\, Q => p_0_in, R => '0' ); wr_rst_busy_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^wrst_busy\, I1 => rst_d1, O => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__1\ is port ( \gen_rst_ic.fifo_rd_rst_ic_reg_0\ : out STD_LOGIC; wrst_busy : out STD_LOGIC; wr_pntr_plus1_pf_carry : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); underflow_i0 : out STD_LOGIC; \gen_rst_ic.fifo_rd_rst_ic_reg_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[7]\ : in STD_LOGIC; rst_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \guf.underflow_i_reg\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__1\ : entity is "xpm_fifo_rst"; end \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__1\ is signal \/i__n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : signal is "yes"; signal \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \__0/i__n_0\ : STD_LOGIC; signal \gen_rst_ic.curr_rrst_state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \gen_rst_ic.curr_rrst_state\ : signal is "yes"; signal \gen_rst_ic.fifo_rd_rst_i0\ : STD_LOGIC; signal \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_rd_rst_wr_i\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_rd\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_2_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_reg_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; signal \rst_i__0\ : STD_LOGIC; signal \^wrst_busy\ : STD_LOGIC; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP : string; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair123"; attribute SOFT_HLUTNM of \gen_rst_ic.fifo_wr_rst_ic_i_2\ : label is "soft_lutpair124"; attribute DEF_VAL : string; attribute DEF_VAL of \gen_rst_ic.rrst_wr_inst\ : label is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 3; attribute INIT : string; attribute INIT of \gen_rst_ic.rrst_wr_inst\ : label is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 1; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute VERSION : integer; attribute VERSION of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute XPM_CDC : string; attribute XPM_CDC of \gen_rst_ic.rrst_wr_inst\ : label is "SYNC_RST"; attribute XPM_MODULE : string; attribute XPM_MODULE of \gen_rst_ic.rrst_wr_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_rst_ic.rst_seq_reentered_i_1\ : label is "soft_lutpair124"; attribute DEF_VAL of \gen_rst_ic.wrst_rd_inst\ : label is "1'b0"; attribute DEST_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 3; attribute INIT of \gen_rst_ic.wrst_rd_inst\ : label is "0"; attribute INIT_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 1; attribute SIM_ASSERT_CHK of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute VERSION of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute XPM_CDC of \gen_rst_ic.wrst_rd_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gen_rst_ic.wrst_rd_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \grdc.rd_data_count_i[8]_i_1\ : label is "soft_lutpair123"; begin \gen_rst_ic.fifo_rd_rst_ic_reg_0\ <= \^gen_rst_ic.fifo_rd_rst_ic_reg_0\; wrst_busy <= \^wrst_busy\; \/i_\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \/i__n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"03030200FFFFFFFF" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I5 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEEE" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I3 => rst, I4 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFF0EEE0FFFFEEE0" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I5 => \gen_rst_ic.fifo_rd_rst_wr_i\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000C0008" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I1 => \gen_rst_ic.fifo_rd_rst_wr_i\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => \gen_rst_ic.fifo_rd_rst_wr_i\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, R => '0' ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gen_rst_ic.curr_rrst_state\(0), I1 => \gen_rst_ic.curr_rrst_state\(1), O => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \__0/i__n_0\, Q => \gen_rst_ic.curr_rrst_state\(0), R => '0' ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\, Q => \gen_rst_ic.curr_rrst_state\(1), R => '0' ); \__0/i_\: unisim.vcomponents.LUT3 generic map( INIT => X"06" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \__0/i__n_0\ ); \count_value_i[1]_i_1__4\: unisim.vcomponents.LUT4 generic map( INIT => X"AAAE" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => ram_empty_i, I2 => Q(1), I3 => Q(0), O => \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) ); \gen_rst_ic.fifo_rd_rst_ic_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"3E" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \gen_rst_ic.fifo_rd_rst_i0\ ); \gen_rst_ic.fifo_rd_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \gen_rst_ic.fifo_rd_rst_i0\, Q => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, R => '0' ); \gen_rst_ic.fifo_wr_rst_ic_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEAFFFFFFEA0000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I2 => \rst_i__0\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I4 => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\, I5 => \gen_rst_ic.fifo_wr_rst_ic\, O => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => p_0_in, I1 => rst, O => \rst_i__0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\, Q => \gen_rst_ic.fifo_wr_rst_ic\, R => '0' ); \gen_rst_ic.rrst_wr_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__11\ port map ( dest_clk => wr_clk, dest_rst => \gen_rst_ic.fifo_rd_rst_wr_i\, src_rst => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ ); \gen_rst_ic.rst_seq_reentered_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \gen_rst_ic.rst_seq_reentered_i_2_n_0\, I1 => rst, I2 => p_0_in, O => \gen_rst_ic.rst_seq_reentered_i_1_n_0\ ); \gen_rst_ic.rst_seq_reentered_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00010000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I5 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \gen_rst_ic.rst_seq_reentered_i_2_n_0\ ); \gen_rst_ic.rst_seq_reentered_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.rst_seq_reentered_i_1_n_0\, Q => \gen_rst_ic.rst_seq_reentered_reg_n_0\, R => '0' ); \gen_rst_ic.wr_rst_busy_ic_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFFFEF00" ) port map ( I0 => rst, I1 => p_0_in, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I3 => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\, I4 => \^wrst_busy\, O => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\, Q => \^wrst_busy\, R => '0' ); \gen_rst_ic.wrst_rd_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__10\ port map ( dest_clk => rd_clk, dest_rst => \gen_rst_ic.fifo_wr_rst_rd\, src_rst => \gen_rst_ic.fifo_wr_rst_ic\ ); \gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => wr_en, I1 => \count_value_i_reg[7]\, I2 => \^wrst_busy\, I3 => rst_d1, O => wr_pntr_plus1_pf_carry ); \grdc.rd_data_count_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => Q(0), I2 => Q(1), O => SR(0) ); \guf.underflow_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E0" ) port map ( I0 => \guf.underflow_i_reg\, I1 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I2 => rd_en, O => underflow_i0 ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', Q => \power_on_rst_reg_n_0_[0]\, R => '0' ); \power_on_rst_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \power_on_rst_reg_n_0_[0]\, Q => p_0_in, R => '0' ); wr_rst_busy_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^wrst_busy\, I1 => rst_d1, O => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__2\ is port ( \gen_rst_ic.fifo_rd_rst_ic_reg_0\ : out STD_LOGIC; wrst_busy : out STD_LOGIC; wr_pntr_plus1_pf_carry : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); underflow_i0 : out STD_LOGIC; \gen_rst_ic.fifo_rd_rst_ic_reg_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[7]\ : in STD_LOGIC; rst_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \guf.underflow_i_reg\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__2\ : entity is "xpm_fifo_rst"; end \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__2\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__2\ is signal \/i__n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : signal is "yes"; signal \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \__0/i__n_0\ : STD_LOGIC; signal \gen_rst_ic.curr_rrst_state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \gen_rst_ic.curr_rrst_state\ : signal is "yes"; signal \gen_rst_ic.fifo_rd_rst_i0\ : STD_LOGIC; signal \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_rd_rst_wr_i\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_rd\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_2_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_reg_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; signal \rst_i__0\ : STD_LOGIC; signal \^wrst_busy\ : STD_LOGIC; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP : string; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \gen_rst_ic.fifo_wr_rst_ic_i_2\ : label is "soft_lutpair90"; attribute DEF_VAL : string; attribute DEF_VAL of \gen_rst_ic.rrst_wr_inst\ : label is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 3; attribute INIT : string; attribute INIT of \gen_rst_ic.rrst_wr_inst\ : label is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 1; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute VERSION : integer; attribute VERSION of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute XPM_CDC : string; attribute XPM_CDC of \gen_rst_ic.rrst_wr_inst\ : label is "SYNC_RST"; attribute XPM_MODULE : string; attribute XPM_MODULE of \gen_rst_ic.rrst_wr_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_rst_ic.rst_seq_reentered_i_1\ : label is "soft_lutpair90"; attribute DEF_VAL of \gen_rst_ic.wrst_rd_inst\ : label is "1'b0"; attribute DEST_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 3; attribute INIT of \gen_rst_ic.wrst_rd_inst\ : label is "0"; attribute INIT_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 1; attribute SIM_ASSERT_CHK of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute VERSION of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute XPM_CDC of \gen_rst_ic.wrst_rd_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gen_rst_ic.wrst_rd_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \grdc.rd_data_count_i[8]_i_1\ : label is "soft_lutpair89"; begin \gen_rst_ic.fifo_rd_rst_ic_reg_0\ <= \^gen_rst_ic.fifo_rd_rst_ic_reg_0\; wrst_busy <= \^wrst_busy\; \/i_\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \/i__n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"03030200FFFFFFFF" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I5 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEEE" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I3 => rst, I4 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFF0EEE0FFFFEEE0" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I5 => \gen_rst_ic.fifo_rd_rst_wr_i\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000C0008" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I1 => \gen_rst_ic.fifo_rd_rst_wr_i\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => \gen_rst_ic.fifo_rd_rst_wr_i\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, R => '0' ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gen_rst_ic.curr_rrst_state\(0), I1 => \gen_rst_ic.curr_rrst_state\(1), O => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \__0/i__n_0\, Q => \gen_rst_ic.curr_rrst_state\(0), R => '0' ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\, Q => \gen_rst_ic.curr_rrst_state\(1), R => '0' ); \__0/i_\: unisim.vcomponents.LUT3 generic map( INIT => X"06" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \__0/i__n_0\ ); \count_value_i[1]_i_1__4\: unisim.vcomponents.LUT4 generic map( INIT => X"AAAE" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => ram_empty_i, I2 => Q(1), I3 => Q(0), O => \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) ); \gen_rst_ic.fifo_rd_rst_ic_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"3E" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \gen_rst_ic.fifo_rd_rst_i0\ ); \gen_rst_ic.fifo_rd_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \gen_rst_ic.fifo_rd_rst_i0\, Q => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, R => '0' ); \gen_rst_ic.fifo_wr_rst_ic_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEAFFFFFFEA0000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I2 => \rst_i__0\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I4 => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\, I5 => \gen_rst_ic.fifo_wr_rst_ic\, O => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => p_0_in, I1 => rst, O => \rst_i__0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\, Q => \gen_rst_ic.fifo_wr_rst_ic\, R => '0' ); \gen_rst_ic.rrst_wr_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__13\ port map ( dest_clk => wr_clk, dest_rst => \gen_rst_ic.fifo_rd_rst_wr_i\, src_rst => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ ); \gen_rst_ic.rst_seq_reentered_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \gen_rst_ic.rst_seq_reentered_i_2_n_0\, I1 => rst, I2 => p_0_in, O => \gen_rst_ic.rst_seq_reentered_i_1_n_0\ ); \gen_rst_ic.rst_seq_reentered_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00010000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I5 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \gen_rst_ic.rst_seq_reentered_i_2_n_0\ ); \gen_rst_ic.rst_seq_reentered_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.rst_seq_reentered_i_1_n_0\, Q => \gen_rst_ic.rst_seq_reentered_reg_n_0\, R => '0' ); \gen_rst_ic.wr_rst_busy_ic_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFFFEF00" ) port map ( I0 => rst, I1 => p_0_in, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I3 => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\, I4 => \^wrst_busy\, O => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\, Q => \^wrst_busy\, R => '0' ); \gen_rst_ic.wrst_rd_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__12\ port map ( dest_clk => rd_clk, dest_rst => \gen_rst_ic.fifo_wr_rst_rd\, src_rst => \gen_rst_ic.fifo_wr_rst_ic\ ); \gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => wr_en, I1 => \count_value_i_reg[7]\, I2 => \^wrst_busy\, I3 => rst_d1, O => wr_pntr_plus1_pf_carry ); \grdc.rd_data_count_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => Q(0), I2 => Q(1), O => SR(0) ); \guf.underflow_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E0" ) port map ( I0 => \guf.underflow_i_reg\, I1 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I2 => rd_en, O => underflow_i0 ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', Q => \power_on_rst_reg_n_0_[0]\, R => '0' ); \power_on_rst_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \power_on_rst_reg_n_0_[0]\, Q => p_0_in, R => '0' ); wr_rst_busy_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^wrst_busy\, I1 => rst_d1, O => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__3\ is port ( \gen_rst_ic.fifo_rd_rst_ic_reg_0\ : out STD_LOGIC; wrst_busy : out STD_LOGIC; wr_pntr_plus1_pf_carry : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); underflow_i0 : out STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[8]\ : in STD_LOGIC; rst_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \guf.underflow_i_reg\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__3\ : entity is "xpm_fifo_rst"; end \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__3\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__3\ is signal \/i__n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : signal is "yes"; signal \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \__0/i__n_0\ : STD_LOGIC; signal \gen_rst_ic.curr_rrst_state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \gen_rst_ic.curr_rrst_state\ : signal is "yes"; signal \gen_rst_ic.fifo_rd_rst_i0\ : STD_LOGIC; signal \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_rd_rst_wr_i\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_rd\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_2_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_reg_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; signal \rst_i__0\ : STD_LOGIC; signal \^wrst_busy\ : STD_LOGIC; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP : string; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair260"; attribute SOFT_HLUTNM of \gen_rst_ic.fifo_wr_rst_ic_i_2\ : label is "soft_lutpair261"; attribute DEF_VAL : string; attribute DEF_VAL of \gen_rst_ic.rrst_wr_inst\ : label is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 3; attribute INIT : string; attribute INIT of \gen_rst_ic.rrst_wr_inst\ : label is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 1; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute VERSION : integer; attribute VERSION of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute XPM_CDC : string; attribute XPM_CDC of \gen_rst_ic.rrst_wr_inst\ : label is "SYNC_RST"; attribute XPM_MODULE : string; attribute XPM_MODULE of \gen_rst_ic.rrst_wr_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_rst_ic.rst_seq_reentered_i_1\ : label is "soft_lutpair261"; attribute DEF_VAL of \gen_rst_ic.wrst_rd_inst\ : label is "1'b0"; attribute DEST_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 3; attribute INIT of \gen_rst_ic.wrst_rd_inst\ : label is "0"; attribute INIT_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 1; attribute SIM_ASSERT_CHK of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute VERSION of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute XPM_CDC of \gen_rst_ic.wrst_rd_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gen_rst_ic.wrst_rd_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \grdc.rd_data_count_i[9]_i_1\ : label is "soft_lutpair260"; begin \gen_rst_ic.fifo_rd_rst_ic_reg_0\ <= \^gen_rst_ic.fifo_rd_rst_ic_reg_0\; wrst_busy <= \^wrst_busy\; \/i_\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \/i__n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"03030200FFFFFFFF" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I5 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEEE" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I3 => rst, I4 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFF0EEE0FFFFEEE0" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I5 => \gen_rst_ic.fifo_rd_rst_wr_i\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000C0008" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I1 => \gen_rst_ic.fifo_rd_rst_wr_i\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => \gen_rst_ic.fifo_rd_rst_wr_i\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, R => '0' ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gen_rst_ic.curr_rrst_state\(0), I1 => \gen_rst_ic.curr_rrst_state\(1), O => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \__0/i__n_0\, Q => \gen_rst_ic.curr_rrst_state\(0), R => '0' ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\, Q => \gen_rst_ic.curr_rrst_state\(1), R => '0' ); \__0/i_\: unisim.vcomponents.LUT3 generic map( INIT => X"06" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \__0/i__n_0\ ); \count_value_i[1]_i_1__4\: unisim.vcomponents.LUT4 generic map( INIT => X"FF02" ) port map ( I0 => ram_empty_i, I1 => Q(0), I2 => Q(1), I3 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, O => \gen_pf_ic_rc.ram_empty_i_reg\(0) ); \gen_rst_ic.fifo_rd_rst_ic_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"3E" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \gen_rst_ic.fifo_rd_rst_i0\ ); \gen_rst_ic.fifo_rd_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \gen_rst_ic.fifo_rd_rst_i0\, Q => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, R => '0' ); \gen_rst_ic.fifo_wr_rst_ic_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEAFFFFFFEA0000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I2 => \rst_i__0\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I4 => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\, I5 => \gen_rst_ic.fifo_wr_rst_ic\, O => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => p_0_in, I1 => rst, O => \rst_i__0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\, Q => \gen_rst_ic.fifo_wr_rst_ic\, R => '0' ); \gen_rst_ic.rrst_wr_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__15\ port map ( dest_clk => wr_clk, dest_rst => \gen_rst_ic.fifo_rd_rst_wr_i\, src_rst => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ ); \gen_rst_ic.rst_seq_reentered_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \gen_rst_ic.rst_seq_reentered_i_2_n_0\, I1 => rst, I2 => p_0_in, O => \gen_rst_ic.rst_seq_reentered_i_1_n_0\ ); \gen_rst_ic.rst_seq_reentered_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00010000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I5 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \gen_rst_ic.rst_seq_reentered_i_2_n_0\ ); \gen_rst_ic.rst_seq_reentered_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.rst_seq_reentered_i_1_n_0\, Q => \gen_rst_ic.rst_seq_reentered_reg_n_0\, R => '0' ); \gen_rst_ic.wr_rst_busy_ic_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFFFEF00" ) port map ( I0 => rst, I1 => p_0_in, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I3 => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\, I4 => \^wrst_busy\, O => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\, Q => \^wrst_busy\, R => '0' ); \gen_rst_ic.wrst_rd_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__14\ port map ( dest_clk => rd_clk, dest_rst => \gen_rst_ic.fifo_wr_rst_rd\, src_rst => \gen_rst_ic.fifo_wr_rst_ic\ ); \gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => wr_en, I1 => \count_value_i_reg[8]\, I2 => \^wrst_busy\, I3 => rst_d1, O => wr_pntr_plus1_pf_carry ); \grdc.rd_data_count_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"F1" ) port map ( I0 => Q(1), I1 => Q(0), I2 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, O => SR(0) ); \guf.underflow_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E0" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => \guf.underflow_i_reg\, I2 => rd_en, O => underflow_i0 ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', Q => \power_on_rst_reg_n_0_[0]\, R => '0' ); \power_on_rst_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \power_on_rst_reg_n_0_[0]\, Q => p_0_in, R => '0' ); wr_rst_busy_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^wrst_busy\, I1 => rst_d1, O => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__4\ is port ( \gen_rst_ic.fifo_rd_rst_ic_reg_0\ : out STD_LOGIC; wrst_busy : out STD_LOGIC; wr_pntr_plus1_pf_carry : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); underflow_i0 : out STD_LOGIC; \gen_pf_ic_rc.ram_empty_i_reg\ : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC; wr_en : in STD_LOGIC; \count_value_i_reg[8]\ : in STD_LOGIC; rst_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \guf.underflow_i_reg\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__4\ : entity is "xpm_fifo_rst"; end \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__4\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__4\ is signal \/i__n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\ : signal is "yes"; signal \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\ : signal is "yes"; signal \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ : STD_LOGIC; signal \__0/i__n_0\ : STD_LOGIC; signal \gen_rst_ic.curr_rrst_state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \gen_rst_ic.curr_rrst_state\ : signal is "yes"; signal \gen_rst_ic.fifo_rd_rst_i0\ : STD_LOGIC; signal \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_rd_rst_wr_i\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ : STD_LOGIC; signal \gen_rst_ic.fifo_wr_rst_rd\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_i_2_n_0\ : STD_LOGIC; signal \gen_rst_ic.rst_seq_reentered_reg_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ : STD_LOGIC; signal \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; signal \rst_i__0\ : STD_LOGIC; signal \^wrst_busy\ : STD_LOGIC; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP : string; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "WRST_OUT:00100,WRST_IN:00010,WRST_GO2IDLE:10000,WRST_EXIT:01000,WRST_IDLE:00001"; attribute KEEP of \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "iSTATE:00,iSTATE0:01,iSTATE1:10,iSTATE2:11"; attribute KEEP of \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair200"; attribute SOFT_HLUTNM of \gen_rst_ic.fifo_wr_rst_ic_i_2\ : label is "soft_lutpair201"; attribute DEF_VAL : string; attribute DEF_VAL of \gen_rst_ic.rrst_wr_inst\ : label is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 3; attribute INIT : string; attribute INIT of \gen_rst_ic.rrst_wr_inst\ : label is "0"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_rst_ic.rrst_wr_inst\ : label is 1; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute VERSION : integer; attribute VERSION of \gen_rst_ic.rrst_wr_inst\ : label is 0; attribute XPM_CDC : string; attribute XPM_CDC of \gen_rst_ic.rrst_wr_inst\ : label is "SYNC_RST"; attribute XPM_MODULE : string; attribute XPM_MODULE of \gen_rst_ic.rrst_wr_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_rst_ic.rst_seq_reentered_i_1\ : label is "soft_lutpair201"; attribute DEF_VAL of \gen_rst_ic.wrst_rd_inst\ : label is "1'b0"; attribute DEST_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 3; attribute INIT of \gen_rst_ic.wrst_rd_inst\ : label is "0"; attribute INIT_SYNC_FF of \gen_rst_ic.wrst_rd_inst\ : label is 1; attribute SIM_ASSERT_CHK of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute VERSION of \gen_rst_ic.wrst_rd_inst\ : label is 0; attribute XPM_CDC of \gen_rst_ic.wrst_rd_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gen_rst_ic.wrst_rd_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \grdc.rd_data_count_i[9]_i_1\ : label is "soft_lutpair200"; begin \gen_rst_ic.fifo_rd_rst_ic_reg_0\ <= \^gen_rst_ic.fifo_rd_rst_ic_reg_0\; wrst_busy <= \^wrst_busy\; \/i_\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \/i__n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"03030200FFFFFFFF" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I5 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEEE" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I3 => rst, I4 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFF0EEE0FFFFEEE0" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I5 => \gen_rst_ic.fifo_rd_rst_wr_i\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000C0008" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I1 => \gen_rst_ic.fifo_rd_rst_wr_i\, I2 => rst, I3 => p_0_in, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => \gen_rst_ic.fifo_rd_rst_wr_i\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => rst, I3 => p_0_in, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \/i__n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => p_0_in, I2 => rst, I3 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[0]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, R => '0' ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[1]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[2]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[3]_i_1_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_onehot_gen_rst_ic.curr_wrst_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_2_n_0\, Q => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, R => \FSM_onehot_gen_rst_ic.curr_wrst_state[4]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gen_rst_ic.curr_rrst_state\(0), I1 => \gen_rst_ic.curr_rrst_state\(1), O => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\ ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \__0/i__n_0\, Q => \gen_rst_ic.curr_rrst_state\(0), R => '0' ); \FSM_sequential_gen_rst_ic.curr_rrst_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \FSM_sequential_gen_rst_ic.curr_rrst_state[1]_i_1_n_0\, Q => \gen_rst_ic.curr_rrst_state\(1), R => '0' ); \__0/i_\: unisim.vcomponents.LUT3 generic map( INIT => X"06" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \__0/i__n_0\ ); \count_value_i[1]_i_1__4\: unisim.vcomponents.LUT4 generic map( INIT => X"FF02" ) port map ( I0 => ram_empty_i, I1 => Q(0), I2 => Q(1), I3 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, O => \gen_pf_ic_rc.ram_empty_i_reg\(0) ); \gen_rst_ic.fifo_rd_rst_ic_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"3E" ) port map ( I0 => \gen_rst_ic.fifo_wr_rst_rd\, I1 => \gen_rst_ic.curr_rrst_state\(1), I2 => \gen_rst_ic.curr_rrst_state\(0), O => \gen_rst_ic.fifo_rd_rst_i0\ ); \gen_rst_ic.fifo_rd_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \gen_rst_ic.fifo_rd_rst_i0\, Q => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, R => '0' ); \gen_rst_ic.fifo_wr_rst_ic_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEAFFFFFFEA0000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I2 => \rst_i__0\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I4 => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\, I5 => \gen_rst_ic.fifo_wr_rst_ic\, O => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => p_0_in, I1 => rst, O => \rst_i__0\ ); \gen_rst_ic.fifo_wr_rst_ic_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00010116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.fifo_wr_rst_ic_i_3_n_0\ ); \gen_rst_ic.fifo_wr_rst_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.fifo_wr_rst_ic_i_1_n_0\, Q => \gen_rst_ic.fifo_wr_rst_ic\, R => '0' ); \gen_rst_ic.rrst_wr_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__17\ port map ( dest_clk => wr_clk, dest_rst => \gen_rst_ic.fifo_rd_rst_wr_i\, src_rst => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\ ); \gen_rst_ic.rst_seq_reentered_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \gen_rst_ic.rst_seq_reentered_i_2_n_0\, I1 => rst, I2 => p_0_in, O => \gen_rst_ic.rst_seq_reentered_i_1_n_0\ ); \gen_rst_ic.rst_seq_reentered_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00010000" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, I5 => \gen_rst_ic.rst_seq_reentered_reg_n_0\, O => \gen_rst_ic.rst_seq_reentered_i_2_n_0\ ); \gen_rst_ic.rst_seq_reentered_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.rst_seq_reentered_i_1_n_0\, Q => \gen_rst_ic.rst_seq_reentered_reg_n_0\, R => '0' ); \gen_rst_ic.wr_rst_busy_ic_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFFFEF00" ) port map ( I0 => rst, I1 => p_0_in, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I3 => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\, I4 => \^wrst_busy\, O => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000116" ) port map ( I0 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[3]\, I1 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[2]\, I2 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[1]\, I3 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[0]\, I4 => \FSM_onehot_gen_rst_ic.curr_wrst_state_reg_n_0_[4]\, O => \gen_rst_ic.wr_rst_busy_ic_i_2_n_0\ ); \gen_rst_ic.wr_rst_busy_ic_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \gen_rst_ic.wr_rst_busy_ic_i_1_n_0\, Q => \^wrst_busy\, R => '0' ); \gen_rst_ic.wrst_rd_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_sync_rst__16\ port map ( dest_clk => rd_clk, dest_rst => \gen_rst_ic.fifo_wr_rst_rd\, src_rst => \gen_rst_ic.fifo_wr_rst_ic\ ); \gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => wr_en, I1 => \count_value_i_reg[8]\, I2 => \^wrst_busy\, I3 => rst_d1, O => wr_pntr_plus1_pf_carry ); \grdc.rd_data_count_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"F1" ) port map ( I0 => Q(1), I1 => Q(0), I2 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, O => SR(0) ); \guf.underflow_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E0" ) port map ( I0 => \^gen_rst_ic.fifo_rd_rst_ic_reg_0\, I1 => \guf.underflow_i_reg\, I2 => rd_en, O => underflow_i0 ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', Q => \power_on_rst_reg_n_0_[0]\, R => '0' ); \power_on_rst_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \power_on_rst_reg_n_0_[0]\, Q => p_0_in, R => '0' ); wr_rst_busy_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^wrst_busy\, I1 => rst_d1, O => wr_rst_busy ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block Smodsvllcvd6MuPfdHlFmvR8p+Pe7f/pUBu/EPfJ2zZ5ctuddGasm68DT7c1GLZh6gDWLRVWzeFo 7fcCmPmHOg== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block s2mDZJeKjJsKFE8Xp2XRbJCl6T2FNVLRNeAmU/UqqR05MWC75Dr4jE6br+1fqFRpw3qEraDZBccO 2KWWAdJBHQOh1fufTlMCJJJEIWl4RL3bkCRsGDbIquWw0kVLdFyOEx6Lt14PvUyTuHVmV8wLyqrH yrV4YPFXV6ypwrcRjr8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block x+7/agT4n/d9u1QQInxgxce2jZanNSpIonCHAMN9TwcrlJrdb8ZfXZRtPg5W5uDzAYwFlpOMaH7J K0bU2N1bJd5SulzzWFr2xmwWwHkajiQbUTVM/qR72fbwtXA37wmHeH5Tj2maA3ysmVCEOBf+PzRU Skp4HmB39p3hznf7ivb9O+sIfUNHxZBRzkiGh0ybjA8gVC3hy9NdrtQe0RHj+KDnauKeW/7F5h28 Wru9E7eo717pSBIWiXC0+XEYHLyZH8UN1U/iAvPNkpqEn4OvzptabgKAiRn6ijsrWWhVztYbGXt2 qOtTlmttFPVT2ywiD8/sG81mWcXtkBnjurP1Bw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block a+uyg/DogHrar2B20X0VgKpDYxx8u5tU3WA15lXV858Y9HTfE/D5Ryjp0R5g+o4hU/5agZ7PQugj +Mvi/rKN+IHrEnVKSjN5RJGFUfDKEXQdedEiVI1lKvTljh6/DbxkqYVn8yzilcIXSBDhoq5uXOcx Mwmzc2s6rW0NV5Q8EbxCcgTrGYzpifzEoYV0jTlScpaPkDqnEcq5FfdczU1m49BoU+M4J77FaKjN pv9iayEPhHjY2K5BE74HpvcRAZiQ5f6Gm3FLXXd/9cLd2FDmDBtno+HFPjWV03VK9Wa3oqggUaWc 2+IraP0j0iYXzF9j3MybI+65W/eukw9H5L3ICg== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block pIB9TJIKMKujbrZdwkCbRqImY/XmmtgVYJYP8sQJB8aidnWCgifLnFKwPxN8+uM6n92XDeuSl2uf spMy7uFl+uyL+JqlCjJUGfHM+H03Wu2cccoisOYpY+XRV9nieltHFTy8wDgpVV0w3KMf+UV1TZtt 4ztD5z48R4BbG/Ue0sk= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Vn0eykMtydiA29PmAvGfWqzU/OcR9L9ZRcvug6TYIDc7Wxv5/GyVdGrNdRktD0f9KubgBa0urkHZ OVAc1qpm7pKiLBUVlFacwXaioX9Q1FD1SAxilHWB5ltYgZegy2ez2lryio4r3lIYsEXOpFFCfoTj JjvYIAKkVicZbUdPFn9Cw7BgtAyIBox5+wMxN4Woz2ieR6XD0tXW5bIK6OUZiDKv6cMDmQ7o/QLx ki3QAGoSbICwuLgoE01RbtjZTocaCLZT+wrDC/IcJB+d70CbAiRE5s6cmmTsX/12AcCznkVRMaTv CR0SNb0Ps+0ZVYz9aKP8giXb5qLYBT0vftbPPg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Yt83c3DmqkpWc1KPkPbqmHqaLoT3qlzJzC6nkvkkrCh8yH/Ym2KZkrIxp3XDJeaAtDhQXBkh650y O3wUe60ck9zvA8HWGhS5BPgIw9rnangrhcvzCScfI0OfwQ6h5ZsgVFFGvkBnBgniaJ4N2G3Zujop aYKZKOok233c5nuk6znEO/qIaPnWVPy2jruPlSPfu+7OpnFaiOVBJx+VJC4YR2E6xdvjMTM4vPrQ /etKY/AYxfvM028Lxnt9Xc+CVCVOYyV5dT4unPuM89uabGBKMCLWKBA9mKxBmXNUT2MSjOds3Dut JQa6ypo8M2SEm2GGxI67ytaHq3pYFSh7UBopoA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block rWZCM2OLTdFeNt3/3w1nV8cDE8ru50QBdnwQU2vQ/RCdITRg6R67t+HHT+nMg7iJ9FgoAWWbslZP nNrhWQS1A/eoyQsI+cbuwUT7rIPRLBRpJIXKI5TnO0alZwYyePXXbSzmnbSbbxoRhXVgbY4MQ2gT 8KcbIZfsV8RKXGHsAbt8vPQSHgOXcZFD4+w2IU/VGk/KAnGsIVvTUcijNi7Q7vBbI8ceiHiKg55T nv14J6fhUXK2vndlaXvQ7Uoqcxdpu2PDWj9CiInYu5QBGzJWoMPwzfLfxB+Am5azcUDCf8FUy4IO oArsrBt5MXGK/KRLLr4vcSvW+yOxJzfrZPG8Mw== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block SP+xNpp1Ho2r2B0A7yOizrsTj3eBYEq/2auUnNB7Pjs4H7cFrz5pVVFE+c9sc68Oe7YL/0e2v/jK M9zSnmOQjteVTNuriozBDU8b7ZbRl2EIwBoHjxxr3APjuHMe7B00kUieij2E3nkqNJFL0VhqMYz8 1rSTpPERO5jBUCzhjyi1cdOHrQNzt2kVY0SgJDtNz6oN07397z0su0vaN0DNs6qAu5DF5mGIdPdP vD4c7qy0B0wcB0NQPx5Gxr+54OL3AKN3BsuWEOCrY2vztdCtXoep3lXDB3fw1rOXfb0ELNDv2CtF a8UzUmODOsTlTsU5nvL0uTLS58RWaxXYE14rnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32816) `protect data_block h1SXgh2AScrU7Wourx8nbeF1lEYP9z79abGnVTe+7MIyDK+wgHwvAa7eah1geSjDOT2eitp/gx7K kd31tqZZyHnDztDnadzSvtiaZWayatYb5YibSLttyuzCf+wLJVwF1dylVGUSZubD97HSFXfoAzBq 6WT+hYa2c9u1PKL80V0TNga+Pbm83GjwoffjlYpf82KY91SXGV1zlFVxSiyHkXIjdTMFpiGSfpQo YcdtjJxKiT3AmIWr7DB/Njwbx746mzoo94wCic/nWlWlN/qLITtwkqooCMoG+Z9MKbboJUYAblJD fn1KyASCre479igtTxKtfLCYQZY4ZEGATia5Q5bE0bUg1mWIEmxtEyZEcjJmMhMje6+Aho2+SLTR VQ5d35JaDTb1FfDXgPRDHXdhAKb1poSDl8xXSq+y8186z8ti3AomuTuco7nC1mZnBM1QavnHK8aH n7AmPmzIUiVoBgEwXsOMyJhGahqcSAbBgWALzTaZn8Xh5k1JeilCKnvZdDICGHNV7f7cXz63xRKu iXvvlGW6cnildlyBbmFN3o6d6h59Px2GfmvsS2I1OXlTpY3HrVIiZZjpJq5s3wduhFsTRv3G/jX9 49N2cArcbZ7Hhl2orJ/wePlJotP9jgiI6IKDtevItAUiWiXwbE2rIbyXhHjHj18b8mslArvnTbon LsMeb3PSFNZzY7s1xIXeKSBGkNK8JOqkssk5/2DYmNQfHyB23svLXoe6zvHPKNZp1T3nUBkBHjsI E+ri40ExZ36621nX7SfVEl/IgXaUQr9iexPoWffmDVMW/xMrjzvYYE+jS8/8z11WnIhr105r1Ii/ D88b/bkxTg3SuVv81N0sDcd5ymZ9hmbOANaW1FzLM/hVZvak0oBqISpwLoCCY734WskNrcXWzv9M h+CoKDRjKObVJKPKRN4cbdoSLinCBntVNbcWFuk+8HqMnMcmSCM5paTRNfyrY+E9Q6HPmRB511j9 7zOM8Xarm05qJoxfH2jvUA4RnGCk3xVI8pluol+13jXw253ivOv5YAGedBqdw/Ikwslqom0DtdiK Ka+Y3hJB2LjZUZqFfegeoejcNCtaXmrWp+MlZw7v+K+QRXZu3FwwColqGpLKtJfu8klhNb+8NXDd udgyWFA2IyyZul/JiSI3Fv0kDzoQzSn9QqTp3QSufqWp424Kep1hcVaJJKs5mwXwJyiB6ord2isv Cdn/6ji4Vz7XHlb0/ayiaPBTDE1QZbNmVmvpFIJLnwP29NGX7hGdWjqMEaHerNlJAWFGTQyI3C5B qEtkDK+nNmCtxYhmdDq/UoZyMsKAv087yyl32Q+QDLImGNnr8fSRBH9Z14Q/fITcwAOlMtGytbOj PoLIB4NsPMoxj1dW7Uf0OG5JVAQK1kRZpz3A+b08/kvp4EpeZ2JMCrCGvxmTCIbHQJSmUV0AOm46 k1/e5qJD2v9WeZ59XD1+U4ezQUDH4kG3H1ASTvuiS/q2P8Wg4/LjbvyAScdQlXE1THJwVT8HFmil AUO3USv+Lczs6nSYEvFjOlyj6t3D9R0Fs+KhX/ZArbNGBRs50yvQLEC4PEOGsNMEmyPlUbeKj6mG P6q5I7UmBAEo+SqcMePWlbWz7LCJ5YAUzKizNWVbSJ5KWV28r4HSfna9m+IgzHIbJ5XZUJh+dm9c NXRLr60YiW0dmJvdywN5UtDG9OQE7slxqgj3ZZ7yrRZEzr70BVOnlxcFpUmtMZKPJRfCw0Lnsqig 7wEm23FzErttr7O/aRZZjaTrSonhADpMNKAWWcQLhi78wyZnrFvDNpf3D8Ftw8TJwhRiR1WkQ68F c68wmgxyiu3GGJzKx/syhTdW9DlHF4En3MOXCawaDf55DClUMV+L5Jwr2Z4KHqeNi9U1rRBtFPgY juYO/lfnRqGHzO10VHzx/cpo0asJpzOcAOt7aQRcWut8uHcBg1mhO7HgWmM6cwbg6MKA9UyDbuCo 0PlrozxI0g5WAVpChy8SNio8SRLvn9syIy46BQTFg7j4/Qcm2FhAoo0rk9fYVRrvegQaQP/CIoXR PXC9aaJ6Ttk1CnGqOGTMmlHfIfOCw8Ti5hm95Skpb/aR3b8VXmhcpqXsQ/db7hIw69TtJnbS222Y O0NZmHA9XAD5pPgR1qxe8h+jpQvKS9yTWmiCGqVp3WGdnW4w8G+h9Cd4YnVvELJd3RHFmLWvlgYz sb1uQ9jrFkhI1S3BSCHX6RpGeugO+VoiJ2FdBovfe7B1GX9+2UFY6JVCdZvMA80xKPqMgF4INJp7 Xmi3x3/btV2mIFHCC9kZ80jXtmwGwgskcCLXe/A30jFU4eFIeTm0Kp1hNbNxAE4c8A1bw5LaAuhL 5g3H4s38V/Gx9LVWWGMSsUpLpi5AOMPyJiy1ByHQDgy7XwBgZIoBwNOyvaIIrwRauukbnZVXsEK4 4lLhUqVz+n5fLvshq4VSxqTkgwsHQ4A2zWu9ImYuyoO8LKqKzkUfm3bIR1MBJTlmvMQx/+nsf6dr +fdEuHuTFYDwmVW0PxphqF1sg5t85g057hulP4tN4Roo5W8KK+IoLE7IdjBuQEZ7FsKKQFI8qIRz GHTraQEi2GetpL7y6emDqqMkDmI6b1SWS1saz6y4wlmSiwozPq/HW6L05gVVZ68Ud0p2+mZTW2B5 n8vsj4BIxBJwoNbhN6goNBYlAYT1/h0d9BHbFgllENcR1T0f52rW5Qbe2kFRsT+pV/FfRAeIV58r a9YWwAqOkHvsg7xHpOxXvPSYWw8SI15kpVGBavW0Bfa/ZicGCpvjk0HWFVFlNcVIyojTVU0MmduF gF/r9e5IkwI//xtwA9PFJSbJjG7fxHaxIL3TxkX6St9MDUoMmpcFVCDVZ+2Mo2p5vwRfns5QA4ha p99yrC9YfRS15AtdgQtMuPUOquXTkxshChq9HW0ZQSHMCDTw2e3efeb6AeDHwrsH6UO/QYwofmXg ouOg7x2ocn2hkHQOvMU9SFnbtVg8dF/MnmLK49u+Disv24mD5jnY/iQiasYH1RW900jfMy8Gn8F4 umOVptsRb0tJo2JE9JcuQRTW2jTK4e4VsKuNyNTR1dsgddnNDnhsCduHdyrsW/4xkMoNa+W8GR68 nualQ7Nugtx1+d+ku3KBvHLvMnzaCGLtIT/ztSkIV54GdZtXYRUMEHldn+UXwyipwiDgvqLo2hoJ 5yvqGBDtzbLMTzmlNKyw8TbgI/e19nfTqWJqFkDGwVE1oViB3HHY3AiU6MlRbCdfmtufuRjrg8n7 V4jvHkZtUqQTcaZBybYNtFSqQnJkoVuJ+yjkew+zGOLqPQSuInKzWQ3qjjQeV0y8/tEgoMjF/RGL 96HJNVKiW4EYXfvs2rZosXrbzxyx+cYTrZNdFl9RVIDxTjHAyNf9o+7IPbPd6ttzha0IEFgdggng r5ydLvnkJpsbUOHtM5SJkJjBL7lk5sDEd2eSiOjeJOedv76bohFRZCB9HTitTGOLYrEhoWKsCxD3 b160I9TXlwzPE6o91F3ytFW5Tc0MIyxzZf7KSY/5tXzrnn8PpxnyCZWvTCZhLqAOfWt/TN9LX3WT AQvdpGEHFaVU8OtccdYyQNbxOAtZHfCQxJq6ApfH9xsFaKW6E1BNmSuhazIsXY4LrQSBett2udnq X1S+L5/Wz8lXbAFOQ5qh0myBn/cfDDXNaEsbT7Hp8BIEc3RmpZEe1Vrl5U06ZuJVUTaUCYsO06lc wmXH0pskAlnEe6+N4Uko9+FxG0NPUecpmzThvkvdcQGJNDeZUDgOwR1OYhYTUQJAtzwvq52uscnH IA8cnXLIpAEMzrqtSmJz9QzlIeIC45oQ2MxNU53wEbmx+fgvkzuViiscIS/hxbg7I6RcTwGYZFCL FslbPBPqmllCgjhSUXHaek+ALZh3TYqzZKgpJOT0yJmUvpzVYWIk+xXLTEWHhmkcKPCwwbnPvSUA L4jGFzjkP2HnP8FiEGgEPPoBpzfLM2uOkQe8beLNNJR+QzO82c+A4wkNT7XdPE/LV6e3xUagkfjv w4w3yiGPdas/7jIPFO0Gh4TTAKe0HTfzi27hn1UAJYL2jpxRAPCXPNS8WRykPtBnQKSwQlEeGpiA E4prID/bAChB5ShlJ4uonXtyzXyifl8RlXfI4lP7HLIvLoSkq9h1YiAPFH0X25VEalxWid0GOqMt /ieK9zb2kzBAEptflHgjw0zHqWKxEIFBbgTmq/9DsJNH6smVSW7X7Md8QhD6EzatkLFqtZWe5tyk oM2egGqkedhpCpQ4xy0YiLLwofSDB7VLL6+RA6uyAwSagtkibzU6z99Z6/4GjJlg1Z5BaZ6feiiD U54udGsauWGk4Kd7tkmPgaaNpPe7mDDQH+kaFOEk3FBycWHxxP3YlOirqTf+YYaw2as/ELR1Tn9f e3sguLxS5dG4GH459xzhJi22/gXFzkRo4bn9hUYI4S0K3np3WsV46SBpv9VCDuL6RzVz+h1IM09f 0djYqDa87oAnSUoOSQD+zyww9SResSrIRqz9I5VYuqdjfGaL8x5VSOTAsyUC1taJ8Ms+qJrIydus y/bY7TzVcA93d7mU7f/8hUwc4tu2p+ck0rTmNebTeUw3j4MRkYd4flK8fhO2Ozh/kDGQy/ejBAQA UWZa5pvJXrxGpoUaMu5ujUNXVHd2UKrrC1LSgfDjixHZ7v4bZ8ZeSQzBnoC6EfVm3Ok3NIsw6/76 VBy7RbYOoJo3TGR/tsL4v2bxF9V4uGltACRZt7AdLHzXh/KGiD0dmNA51uF2t+JzVTSqW8T121+h fVacDdIw38tp3kqnTIlny4jwWt5P3FIwcKBhKHfHPB9CTTl9jXA6rc90AfTFf5CfTm1AB+h/6uPW dxPhgy5hCCBm/QG1Y/d/5nYllenED+lDbsZAQXp2HKw1ryAg4TieDUj9E+If73eUTQPzAAH1NAVB ZdOW9LZp1Q5ApFnL0zl83Teh2HkAtEchQ1l0H6rEN+trUSwVkx3Y4RV6HK0azxMi2g8XU7pDeD/1 cUVCJCwuQfKWO/jfQDbsWIOsWCyn2mzkBY9wlW2oQcPPwybDf7PzxEgGkHVRGFf3wUdjBklDVd3o rGTTf/SkvMBiT8FPI4zaypYqDieDY/7Uue4wayQAXqbMjF4JXhipdDVoJlWtQyQ1WSuAJsX378h9 peTHZbJRf2me54ZfbnWgyElSGmqtvs/eEDPHrpBcLt2f6Nj3OBy1FmwDNdLuf7tll+1zAfPO5m3C aK5k1ZcibXegwwNfopL/UqQNd3m0hhF69DFTSDUDbvFE+W3sFbS6hJLnRsu0SKCw9I/ItmxRQkPR ldgNuWLCCixyKRoEbDPDjpYRAkf1nmTKnAIBeq4rp6V+BlH3yOw4tvwl+4K4b6DUx+Hd8J8/wXdD JoVeEf5dk0IvbSP6Ufp4KGAr9qJ49h2GSUVY5OT+X8m1qPaJADXrZEjXn84Vw5ORZYBlhsfuKymw 56Jc/RTQ9R707xoi0RYbwmPBhYdZ7rAvC1W5aDEtb2leYd/a2WQ4CXCbdrKhUKnXiz4ovb4wJ0Mj rTaaV3Qxkx6rkw0yCnS0FZBsH4D16+1jAJsehopkbUlgMt/K3K1NjONNhIxL5/8dw4jz8PBmilCC T2okrsGu1cz9X7AogA7z8FvW+3L7IkGBZ6+167p1GgOs31VGAuUKjaxqFKK9z9/ukiMvNxCGnvu8 eKrB7AfTpx9ulEDDAu877bbdt6q663cAQB6VUtgAznZZCLdMDGBeZVTnvSuEy+RW+hyq9cHar7HY xhID5cytXhEAO02Ctg6Y92PCInrB7Vi65JXcK2mR4M2a8J3WJSnO+FP0LEIlbRbZZkH5ZfNULhme GhULyof2iWDKHG6oHkqBMGhHVyaEKyJdFQNTblIzuLIFS4ksJ+g2Wut7rH3kTO5fAcTz2CuIv7pf YOS/WnCQSsgbY1dg73mZbsCxVmBSNY+MsrsjvYqI0sPYB/yrqNqY2GFhcoQM4nhct7wU2vc29R43 WDe96D/vfU/7JVhwdps+mZWyqnaja1/yQsbzIqxz+ZugRJMenJHJl6ck6v7YUNRZiQblCH5tTgZC w4sU4yOxAW7CggrljseJFI/6rmgFkrxdJ6Dl2izfSM4CSRcuKdorlvbYR3rHRYXIXdRDUu4B/ka4 yPrtbA5Tw5vjyn32qCU99MNMyrqsV6hgXrYI8UGWOC5ohTlpevQdX/P853iE/ZN2VrYWDeXBtUsY UKMyyPlr4LlWkNadyA6L2yBcqkDuZjfofB3R35di0ZnQrvh3L25/zQKm3HYMz48a0HCj8RKWowi5 3s1fnRLFpYnIPCb0s5W9sYHZHrVR1C+c8o6CFmjV/DqFCL9ss5v0OkO9+rNCnaOpNQJ3ygE4lPCV +B93IPFy2cormIc1g9S9u334wTTWZTczffk6B1l4YAovRAEIe0TrGEig6kS2xbwitbAYeNuqF91o 8pq9uzjLq35ZvjWkcbG9vvnBpKz9Xh6XqJz10+rpsPOkZjVK5HbxYFeWScS907OceLh2XhKvt0IT 6SP7IB1n860uwzYRI6CXSn6ckfw7w1jYf62Ty6uvSI4EEqH8soTPhqnPJgXqNxKuXX++XZ+aiMWj DL5vESrTZBtZI7dWnwjYeYlCsuapkckM2hFlLn7dingVSq/Xcbn1OwTdZc9cLL3iZzqS9Juo+7bg O1sZQ+p8LO5teZsA1kuhbw7RKls5V6uvprviTDE133snAL6U30Yi7byXyvd054exYjGPRya74oOs 3mpnnb5Dff143BMsMx1swMLPxfwRuIaGcYTr1xyz+WtpYSlypGJOX+URtu08P0bEO6heLRF9dFpx 3ncjsKmeXSaCbB9OB82dswvP5YqVhmqUdjJH9FKbb+Op2hTssuUoG8v7T/Wjo/L2IGcKNsJdSGX+ d+08+0UowaV83WQQbrCtaEALvgTFS5Gix5NZgRb935u1WtCl0hg1nTwGbC67RME5cO5T5u58sfqF PoWyDq3Bp0GJJpMQ58o69cqFoaW/vA8DpURMRYfnCMOCs5zJ/uuaw4ypnJKAJmdPNBWxO+8ptxk/ ViPd5xcjuW2YLPvDrsWDrf93CO9B1+pQy7MeWcHIpCHHkD7ggkJ4nBFAecwCt3SMQmaCXheub8E+ 0gw/hO+ADo3LqknXNW3V8fWNYgh3zH0oadEhPRUiGjByXbabowvtSQmaT1Jh8PhWI9NubmyzhTRA /ClVzTG/6AH1pEozZ9aiPROS7hkvVkXodmLgw0z9soXWZTkYF/CFgHgCc7qesItpGZNVVBYRMQO7 dnNfuxyZv01yJGsXDeVfUc+gZBk2wlsDnZPkq9S0uotP6gnyMxIj+kaP7p7/+9XovKrImP6AAOTD BqxdDjJmpnGHfPXelF6jBz2zXPej5MqRg6jHjcbcNROGgkkDG63/qZoOtkqdv9cgcBBkMvY5Pb2v E1Xi3Gq2gg+cFEtySNfpkicmKPoA12G5rbnpiDypO8gyecSz0dnS0fokihiin7zccbEicC+gwRed mCh23Bu9M0aWxSIhe3X3IkKSmHZsEIq6BKB6steGkxqq7k79pSZ21jlEOfRWxyrKJQxXulWwAH2b 9bKquLO785+ojP9wb62lssfvNvmL89Pshb/snvIHmL+xMvPkaDdpDv9+GnQS6IuYPi02exTUX4Yd jxovfSsK0fL2YL228ZSG/MHyfgKJmeWo2YrSLi0Xov0du0gHnRPcrsDi6JAjtuA5QpMDAzSqmU2A WqenFKzV7KXApQaslwqOjJ4LaEvKKhh7Q+NeRj/FqtZs/sDhXEHP1HoU4N8pcCc2d0r7xdZ5lWnx jtKtMbBrUTDhwFOZkDAgD985Wyx43dKIOMOqboQloj+dOFvzfpm0mNtmLf9iEwTAbTbPS5McEyQT szzuMvnXS8lYvxrW3bBe0EzUOFFCGKT0xS5PiuwYb1oz+Da7ZJdSTd1fC7TyaC3B7Qv+ECv4lGBK JqhDhJqaN2844tMhILEYX8rq2fGSKMA6UdpWC725YBXP7aQ3xNm/A4hgCI8QI67ll4HrMxjNVOy/ 9gDdBSoBYnknV/peN16pF189xj0wTuHQlJ7vqacUn6k83QORjdcd+KF6OF3H+D/wVZimhtvkhbQD lZkbW4iy7cHSgCfnwjj/Y4bRRhnYs0sCTbLtXvzOsFWM4QBX6ir+JHfOVcVw7hkS/jQ9GCII6U6S c2sAYOxZaKX8LVYYgQ/a0Tg7KHdxoddoPeGhDpbmcFcwr5nuGzEeSJfkUZhzX6AFhkM8ua+/N/xR OM2byNcQhCz+is+1uiGz04wZHMheZLrM1IRlu9rhvmB60TgG4GyVgXObO3ngI5AK1/YnE9MyZbWL QPw6rzZYrk4sX0gg4wYa+gwqp8wyxnGpt/K4p9NnwdIy0/NyDCmijmZN0Ph8KbkrF8V4DSUy/yLL 3V7/C6MMXPN0kanXFiqxiv3L0uBYErWjkZRYYaYFDf7vWhMeZMeaivdUMt+K/O1FTfeatxCsZL+O waPVI0bRg9RizTBySBDIShOWWt/Vtqtu7UItuUJNIa0AB4UzDRHxLYQOcSOn+k8V5vpqivexJPpq YowvJUBq0i5kzKtJmdoEQajzc19CJT4SIY9FolVD1EaGmV5F1A9JJ6FDKb9wFesVUCXFc9FdiY9H L8n/RQUH/mhGRMz6E2ao4EyURsBMOPV4QxYgM12GCbomDJlKfQGeiwPR1K0OrRrHJWMwp0kfiaBp XwgghUABOVxHAJtOE96z/04UFsCpQnbRQdnl1hBfVDJd+vfElU4cyOA0L9HXM/PeGuNOni5if7Dr gVqbWVvi0/rJPKHpSl5vY/mctaimhYEMgPfxiQKekG7mP5afQ41JH2EeEpzL9MgA0XPz/kSmwh2/ vnuQ2BX6J2T1/yHbl9wBVkL01bEbMhUwstuI9zd78KPFGbJJfgmFGJQdPMxr4ZpToiy+wgEwVGNB Wu7oTms7Nm1uD7qo4yHsoEUnUIBShb3Z9utvH/ICUl9W2MVJCFoNSziQLEkxGWTOLisoejnlQNdU Q3jT5JCDc0Zt7hiEBVY0bHTVQVYsJUzLaBLsNkDkDT430/lxgTytb3DxIaQWs3I7dwlxu1EtBgsT 32NGftXhcyfjholyEjYAvb8sF4YgUdmKGpGdqujEg5yihJbsOs3yvBtN2lktUZqk4ZGrjwXktWwM U6aKbV0o1iprDWMh8/kSUVuK0TQVIyLvr8Pv+WdbnqwxGDWfOGS6R01e2jNZAKLYx58yjeOR0V0Q +e/BIhPUZUvSa/aCnwhhqxR6mGjWA2qgvxjynqpXqAZ5kP6pqLb27nIKrKl2b18GbULEaV0KklVG JCnHmvN3UkgbR/jjDBcO2wJE9Tw0EKBIexrnmx9HGL8pR1QrDGk6kJbvs0uY8igPPLs090ociuuI WY9dGpw6kEmg2CadvMhlovcALBHlkriH/LszoAt2Ag56SfvLGmw+gHGllh5Y6Sm0e69pBlA5l22K ksFLQSO0nD/HCtk/xS4j500R2G/ZaPkwRvSQgBgUMhcddJKYKmkT+QEgltH++6CdImZjYYhn+WSJ 65QQ0uPEjZGzR3KeWgqYrXHAAp9XbIeVxa/z1HO5MGHYmaQ0/dYY3SaUYSgFq54+tJdk8dUPJV9O 70ApplQhZOW3dKkUDD/z70vJR9c1I0ptSCE7q8daZgR1GJ8wQdM6dw8G2xZysXXhJ9KwVNZP/Cwd rMDGwy8+AkVoTmKWn6KB8yr7JPi3HtqXMgcS34osUdDiUU/wbCV0sHT4Xxguqyx/6YqtpZMdUZKM l9CqKUojN+LM72cgFWW65S76/w4SBQgjmFxBS8Msm+H3UKChxMB2RYptcN/FsRGJ53M54pIF9MNi p/2NrBI9WO+j1KMuPPeOuDlbdp0hBVHzTxFa+qDhoTCilSFj9S5aj4srurhTk0TpGNnKSc7yfF6x 8OzwsZdcBTN6M5e8l+097PEKjz+f0uB7Wzk+Z7hwCFMULzFZHxFrZmwp2MihalqmdBXY3Si7VIPR OIDYURniH2Q1Ime7S1fCeBm5d3J5B5TkpPX+ahCHXETFnfenBE+z7/jCw8FlmR752OnlRMv3d6GJ EDeLUDVlHytjyVN4vccCh/lpQlFrmQhdcYMHYlC8HNeNJoSuV3jIrSgNPi1P/WTxH9DbcZL4G9Q+ P0O8uAU8/arRF09Mpmt4MoZRGYoDbUrX9PRdKHbErC7eS7lAihZ7pHOEgBVpCQNRuOGA1c21dBie vBcHYmianHJTaYR7tnuC6YzvOQ2bOgB1mR3jr2gzwz7SLqMYnyxRnXuDFmUU39TgXGzo8ijSUd1y su69sWVrHkcpRroji7h+eHnjO5mz61euZr9KCT73qHm13v8B8Lt49E46BTGLt3SShcuBBj144aGF Rjphfe5IwU8qd6TmwyZ2MUu6WEMiIv22Y74LtpAEkQwJLMGodwqeZBErSxG5aljrCYMeFuGquqUR 4B8wS5+nBYw8ioUE8NJvNQxvfIEHr0AEAp3CfLEd0v79MuMgv/LBmsCeebaA2MAJwtZ5+k82Mg1H cGHcwOY3fiGjmocbWeQNi5UwMi19CBdcDWxwhooq/W/3PMl58Jr5Nk0//1ZRnXAAsSy7Kosys7gm nsHb6kNyXdPCGuHBeT4a9GQl0cv3sNKKuDf56rO8ptDPR6S0hw8UZ8R6lE/QsrtD0KmvWqDWOFi7 kZwrcddCTTMI5+d+2Kp2kZGa9w6AA1mGzgXctZhBZwEN8BYJ3/0xuXxb+vLSKdHBcpQ9KMr22aNk qPxHSFWoY3hT7o0TP3NE3PUnZO1p2pF/KR4powr2oxU2zN2X9rOrhTWczjgsaN+4za1iz9NKc2jN eoIW+vJiimPO6GKJTBSdW5/bztuaLEhX15kCQpEL4f+FDXhg8uaCoFHm9z+vmlAh1+3+G2oV6ucj fEbsW7Q7/z8f7rldOzmtReBaDciHKXekzFLaBoTDJVgqa8slHWZvuC0tg/VjLDwfSAse46L6FRFa nmdTbesrqZLTGOoCc+D/4irnAOBwNSZtWbqLcERw5dCtzn4SvYw/JGSq9/CLu7E5OxgnYe1MnoDU 3nELLFpjdAHQPTj2bCFccy65vv93OeiYYTBgNzw/Ki4mIQCvPvPrstOFflJ9ja2/I21NcGUcCzyv gp4IL2877TCd+heWeAyZiQEB9IUfxrPo40wDe7xCKBUf7op3+y4FAWR1NIl7EHUfHYg0QtBibCwC BWjLjYC6unMmfZuwQvEXFgN8OvIHO1/4yYu8r2kTPtk5OlLayG4lCnTeLx8DGu01crYoy2mgK/Ns UoAeYbDJC5MtemcpmkMvDJEdpCDquB/zRu0bU/ZSC0veDSOnZMBcwivPfKXREhLEUBhwaGmxeAa8 nFjlQn/hCfadGqYTqdwK4swTI33e3IVYmNYY7DDSwRT4ySBdq9syJ3SvCs8CUpe8Hvs6mbs+aut4 TD3y2DNVsoVIqELTDF09m3yFcEsre6QpkoAmhai+n0maRjrshAaVGyCMShBcdlGjFZfQ8X8Jo1gj rCDxXv/SXgQSpY6rNq4ImPNMMWeRBctfvdkqN7COQQBikG3fAdJvyZdReFq8aL10yuDp4mkcXmKh lvVCeBrCgdcysQOZgCLWsMStzRcwx+OHn7sIWkXgNpVQoMHVn2oJBZZzQeiWsZ5xqB50YUa1aOc3 1750MNzkttnIuAOHq8dSQ9JvjH6GIeiaBYfK9cHXAGDakdjNCQ6H51Pz7XWA/0ZzkdGLlppFx9D8 wKDhsOvwGJ6xAcKkYVdsxRAuJ1M2iAaDjy80END+tAsXOnZzmmgAO/hTuSHIZAGQ5MziXcHGlmBA d1p61kNelNeMRIrtlfzbq3R8fecO93PoVeDPIX3q9YDJCz+1r1PASeVnVClyZPzNt34PhGQG54hj c4T+BgP1dFISDKJJeGqOXozJgT7sj7BPuKV6rOXi5nR63c5yEJ1RCU94FuzGdK5DPKpLe0wytVyA HHBOFJszH3VI+9M6NGZprRwfVosBBBLddB05uTS/EH/133EfQelNcIgKPbEO9ZuDTvTbJ/nC4FN9 oE0jFp8qXMBMb27dFc6z+RpX10BFl55s5R2zO2EMXE9J+fk83Jfv+d9PciQxdS3dYWlD8dm/v9rt bqeaIjhIQvESx7/bk9lIhPPpU10ajGv9NvRqHJt3FpaS4cnBEqRR5oua8aBgDNO1DAOoVLGGeBaA bCF0g2Xo6y1taXQ2wbvkDY9bi66U6Y1YmQ3rXEPrcBCNLdY1g0WhApoUHJpXR68AifIt6CLvIX33 aLVTKdIHGzVyO/0SBxcr/DYQ4EDPC9kQTja88y8KBy8zYFmVCwJGNoHitIjAHmlmLVHGw9a2lMIQ SX06Zaabh1bW0GbPoA/RTcMqJZko7/azQfMVdo2rTrXjcMU2kCCiCnBcJ6mq+XpB9Kk3GxHngD6K IAGZtKDJJG66OKqqZAHSPb+NQMe48paDB3cENMuTgs7JsCH7c5btMtlPJTDay7pt02YCJtGGUVNO g81FxX4hL5xGZgXkMFkQTfMbeJyhWhj+vWBoUCAl7bzj0L0BITTPtph+5YDLDidX8Fpbr6Suuy51 RCxKTCL3lHBD7u9SHMOVb9BYDBiZ5Az2W5ufZ39cWa0AGXiz6arRKbhIRB2ZaGq+qhtSzwUGz+QB G+H5ZA/IWvQHBD5/5Raq8M939v2dtxtxqXD3qf4EqEpvPQtStPCpOaaGMkNIr4NOiWcX++C3Vsvb xdNkkvho03TuBNH+dcwqPaR3FaGEwcySdREjeManorEg0eb0N8SaqeCP3jBfKnfP3PvYA8ktcAFA 8OLk9Xza/3n0tdQeB92xDQhX24MpQfp3HldpIv46wSJM4eIZhdU+c+iU8orYHXmfFrTF/SSmbliN d6mS7VH57+pDu0T1gDKw0657+kINWXkKYpbKMgTEfMN31AmLbSwf1UiWqzXxO4M4FKLLZpy/KwOM e74eFHa2O9X5Z4/FfbCnFOHnYxkcYgyohX3XfPhJDtq5ZWD7PlRk+f9gN4zsa9dhqKLU76FJe1CB yeHvIXBALDeguV4BaxpvU629Uq2Hsn+IApAtO6G49BBuYcF6YAkVz7cO8EnNIdfSDywhKewMtjYO lxQf9SupbkNuppCb7Cqaj488/eYVa69TlFFEuOQrXemQ6AFJdZJ3Ot6d5X0+OByVoKElrTeauglS YiC6LOcOS9UxjD8hayCGpn0rn+Mg1A8tFrP7ViJDeEZjEiKj3KY3aR7Hrpw7CxGxka/4GM35yssF GCTNtTQ/CwVSDHOGJtLTItRfgaCNOuew7oVp6+1fA9XYUcJiKKChuSotcL4EjoEXT1C6Pk8Xd/xn 8mMZ6Wf+QjCVaFXasZV9qxv8KeEIDPlKS8CCY7rwfxBjI9E7uWItL77+vOfijstQYy5IKiO5gJ4W 64V/GG5CHwx+qwiKwPHMOdPC68/7MEbsmIbmHEd3VdIaWHHbGld386ykZZU48AKEftR4nyBhmlK9 0kCFjvrQazg2CuTGB0JeKQuoZd0HXyDRrKK2p6s0sFAG0RiRaa6Czf4S/7KOWnmZcifmH8l8i7K5 sh3VQsU/b8dsohx1486NyXwo4s17q+ha0MnmprkFA8aeyV/rsw8vEriiBodD9NLyG6cDSVEAvCl6 WFfD/Yd3vG+RJ9g1EdZwU1lpkCZaRCtk8bUKnlIZ3LSvhYu/8X+QuqZqwye6afZsQPx08gkZvq0g kHTKhQ/euucMv/0DChg9S5a01e0jxymD2sGDM/AXC6hfg2IfykxFqQtBe2ujFOxP1Wsbbb70jX0k gWWlezaA7cnl4/fm6fU2qL9rnbfI5ALRNmQ9vjeqzIXJpt3pTFphRJsl+H5TCqRuyYrv2RKAwxm0 3BYG6cFH5L7B+DCU8t/5lVcUGFZ3HGrKPawfcSul72Jcq5/LkzFwwYyowPXy4aVoaygle4tGeHAy XZO+Yolev0RJfeToerQbkyRcHpazR2/UDRUWKb2peMy4mPiKiWUJ5ioM+rHC/qgpvAaeSdEUuPyK /1tuO7Tnes97maUL+3ndb6MoBG77qKTnonm2BM7AA6rgr1pRCXBNS+2z6AsGzptKRjTPDGFq8Ze+ zv6GKIKgHBvaHAnY5WaD5Bu09qmjJ+qr7R3hDBM7YdcQWJwjBDHE23PeDI3hw2pwwEt+Y0z3AnMo zt8Q1TFztPe3o372mW+oFQltuW8eaPcsRf2WKnAiLY2iMDPBwwKbFI8NQ2cYH2rppAOEay+YKMec ZYJlBOqpOpQqsEZzdHifs9Kfkvn1VMcVStjV7zx4aCYMjRlB0K/PA9hVzwGolLSh5Bfjv3O9zAss U6UDzhInMWKeHjs6HhWinDBDIuON/eC9N8vIsKndCfpEKVyiAkIZnz/3PxWCfnfaRhvyFugyQyEy JHMWrYA15qUogrWrBDyF+zJQCqqk5Z6JJb6m31i+gaRsXhCuYvVMqEdrxLIUMRWPSi92Svx/Rb/x QJpDp8gPlO0U6zH7+aJKj6s5ut/LDG5lzZFfJFDuXQW0XJpHTdz5yCJV9BFWJuBBx+zErtwK7DZ9 53ec31dVWbbl7hyTn1VcYlQEyMk6O/MmY4B/x7mkcJox+WRewEhA5QDPemPji3XD5YFfUKFAugq+ 845buFLE3MTxJO7qY24ep2Z/6z/xLi16oLdFo1YPovtcVM6gttw2ZIOJNykT22+jqbIBFxskJCfH wXuGMghirUeIHymRCDlg9FQ6JXClGjFYgXtNlc4uAGsCHEPqqyOwTmo/dWAopJb07DDfR4GnTeO8 j0QS65wRc4mqrNY97dTlArmL81lwB1YlRnWJP+KvvN+tvzaidIcmy/IZU/S11Yksu71MPB+hYwpN 9c2oeWbByCmRLanw4DlQpYdmQ54F59nOhUvQ+7Kuo7FZRqxXzniH2LrBZqDwGiz4iQxY+mdB2ocY DTG906KVOLnFJB4AtIfkIdOLSddnhu5NZbr2pVxiX4s1tS86Y6toKjYGbQ6YShGLaFlQRI+FUhlz MliLw43L1YTb/ykWj+og8EHYXyKidLTPi+etu9SRPUggIxg40s22vRNYkRt/p91H1nMIslb7xmO8 5ok2tZhZVcBkYlVYbAEWVXoN5bsrDz6hCGHiCD1z+di2k1DQw+ig+Ph6AhTWxF5P0NQMhBLQZVs7 MkD8d09xaqPdHPddmuRj36p/nmVrZp/fX7zzIUivzEmlCh7VBfTfLq0fpwNHa+OzfVA8oFJPqYrW nb+/hxzEZd0STMzCuDyiSjHFQ/dKSi19T2RoahjiAEsqse7EBTvbk+FE9ZcMJ0dvZwfuHEZwFftC Wqm1sYT+YZcUOuRD8eKU5mWrfvaUZrTLDDjNinBlUNqnmOjcpr39niOZaO6qZ2vuREjGKE4GXMQY iQFjstz0rQG2P20QOxAUzAjsdMkYurbnxUm6EKXwKJ2vketGi2CBC5EEXEmkFN9Y0MZhHRmeF1FA JIjGbbYS2H/PgaWO+h528ryrpL7WFOKiTKbpHM42C0C9UxhQdmY5wsqghAdMgUAREQ4rpNqySt7K uacnfZHioMkJVhG32eVTrItR3bH+mZdbOa06EdtroL49LWbjrOMvO+BxhK9+5JGbc0oxdyLZ424n lcAD+sfBC2wsXGva1QvD91FAkDZKMEdb0TZmR1EfnUWXkAEIAclIUnSWWE4jhsyIfqHN7YamYND0 9bKhJ8uteYiZJlu8IQO1KMSmBbnCsDS1vQlnpKY35kZ9EfrX5WhVskx5mshJ5Ih2GhTI1sDYMOXq HO20WX1gFcQS+MIi2UTnwD51Sg1ocoZlGeMElnDrbDStGjaEEcz+OlweZxTfXdkFYCSvuf8AD8SL uL2UoQGRld+ZLH3NbEhaVNuN81GOq9Jvs49R6/1fVc7/Aoqx82UtgmorpZ0QzlJ1NCDXH4G/Ak8/ 1t1sZgzKftV6vg8xfQrHum94q60EZSByw9A+31ScOaJoz4WIkhU+UDUGiZ144LD4CLlk8i/z2sy/ dxbAIkmgOzWmH8QUdczTrvPQ2ZjH7LtIXo9es52yevsTqmyOgZdhxkz8mXJG0VPiIOKNlmg+GSL8 Yh5kG5u2mH724qrEv8TCcW6DekhaIcoXZTvPMxYCpmNPAtgt17t68iK+Slrdp3KjnjCckRIQApPq ibWHMgfpYs0KjJqjaxkIbUFuCFu3BAOk5LL0j6bRZwPGRPUZaL709UEyMeOYv8HhNvPC4GG0Q/b7 hiG3cRdSWATO69a6f9thCEFk71R9ewXCBlh9cCHS8Og2uTojFt25GyuooT2UAJGQG5ZNZdkCyLyp ZVc6Wa3z8XSa3DmApIZqb5VRPMyw1KGagKy0thAXwEqhZBYapzyG4XA6OIxuuWAS/r8NK8a4rnRR /PrmQZ3337eFzBwvGTYZE/lGnkx5fG0RFssvzvxPbFOJrFVFEQ0JnVLy5GdHcl7w+jRnH0D8w7Se nq30khrvXdzwGLzjvpq8xzT4/4Rq+HBRSfY2yhMgyuz9n/hWI6gXxtX6L1f2bTWskdl0b6Xzrjo6 9+QvbxA6sx2fsaFnTKKpn6W2LFcmExPsbhLVIEc93+nlNip0VmT35bTm03Y6zDyhUlWdApzlGebN C9PJeWySIx0829ofQbXSSYvqSb/8O5w5ygnBaQ3FJIKQtR10pEpCpZTY0i+cFUMVTpX8WCqO7Tgx tpeBo2O3vq/xCOBz07iAo98KneJQ/AmyxvmAvdjmaoPJhw7jVEk1sKFkp2xUFfim9jnvzDFfslDh 4+zkIGCQDiGqoOciX00h9FK2Zq/oWhRpy5P69TY++dd2kvmNMCJgRjEfsdL0XgsQv+IPG2v6q8bE PTuT9Wi6t7YRqyb+PXir8enwmXd8fH5fQO4kH1NIdTQzUWXkG42s1Nnguf9nw94IHHayjWm5gW8p 4sWNLvssf0TbXF1bcnYDSgOFakK+WzbTwu0wrUkRVpKheG81dQDRJhK2rtnvsQJwxxHMNVeLMjuI QptEZ85WPjOihWoVWrR+iyxJlgSpgmG8I/B5+C/R+h8R//CrHsVGOi4q4iBMBsLPqnnMd0HFay5B LYK3XNB7tnA6wuXGqqMJPb1tNMsVT2mQeyVvR4G4n9qOJZ2bdKlXiyJFxhIS001x+hq4bGhRYv6G 6WCT3KCqUlnLhHMozVWuGsrxn/6oPV9Uq8XLubm2twy3eLtAs/L5+6NTs7s1w/AasOLvvi7scHyk 3exGabdQCl4uKRxvJH9y2n7A5qKzrxUMEwiaY4ul5Uv94vGVuL6ja+RR6PaxcfO2PQwTlNLVUGL4 CBKy1HmOMCEx+CG0lNB356YFexwW0+HcU5l0R54Qxofmqmi4U6RjO5qFVxFLbv5ILOg9WcgqzXQA lS1Pn+GJjQzyEYxg6u0rHWCrukOE1CXY3Gs+OEGdSYWA/Wd6Zqo5x4XC3BtPgEDrYEijSbfx6JIl 8zVhYWLYyF23TbFFK2UI8FxCZasMc2sPX3odXlu7tiOFwBfUaZGJZkw6idzMT0oUdjAB+CISLmyp 8xeTNRCsca4ygzPUxEqx/eCkO9HMYrvzqi42uYNGJzvKMkZPK8ZySnpHhNlE7oWHYwVaz74cEsYq Kxcuy7Q+TCJuJHWQbZaDFsi3Kf/vhoHD/wPY6FqgZJbX8vOQ+4+dzZXxasz00BM7Kr98rae7JIPe yjw6ELUCORl9g0MGv9yFEvxtyuOu8y/wsxALPP58+yOr1pW5dhfO+ONpfeZ0FRd199D6gLypUtPE 07XJZr3gjr8ho2Cshrd2QmVm30tVXLNPUPQoM7jM+r4G4GU4eeYZLajxtIOm7UfMRJKiEv1DZ1t1 7JCE9cpkwk/axscMaFMoGeH6+LmyOeR4bxin0SJi9HToe/np3aNKguHtppIagyFg9BaUcaawY2t5 mkiCsDW6YHJIqilPalq/3Pg0RzqCEsfUzooIX4WApzL5vTvYvdYJIbQG+MPSWCdvKcLgibpuUS63 9Y9Nb3aqlQ2ezJV+JkxaB00eb3mr3wEABnCEAf4vCVDb+ohsbYW06g2zw7b4YWb+cEu2CTq2VUQi D5CMXoGPeotgty4BKHMEcvWt7vuWle+5IM6cX1RBkdImUcB+IKmVAnUUSb7NVu0BwIIyQogIvLiJ qZcCdhA4NAL5Y+FvGFLsL46PXXwDh6N5WyIV7Oh5eQZI9DImFeZG/A1n3JGf1Z19wdyTG6XvbIUI mbR4leMXETlEhHGJg+dM7fRmPJBXAfysiUfDzvcn+aDWnwbToqyUy00DmwcLu1GXJEMzXpvbA9PX nFLmZ5HizT/+nJ/LjF9X02EtOXhXwklx866UBPS33wzL2NTMnSDp4eBLhDIH95hqyq1MuyCOXkdg FTrV+0z0K94gZOPduVuXVv2uMn+CHPp/VcaL6LzdymIMmqWhE0VDly5AVtDZAiC3v/dC6D/19YBI fsUKUUqO+vBGOZw0Jb243r8MqLXoi0oCII9XH6Tuvf2gU3VpswWF3zmTwKkg71cpJr5JCBrtGF9s I9JjJQBMAZngGsZZNB+moNBQ15pDFgsg0napd3yOrNj785tJwsJU/6EW1E2iJdNMBWwpxrxviJLQ lSMHZJkWV5oPUAIUZHQO6KL1BwFMs+WzLaE0eFT0RGWqnv+YXn41xr/mufPjpT1ZQQcv6vm9HY/H NhfoZOvxjZedh+DjTC4rzmjU5O6Bfl2j6lcPcDUtlH/ZydI3EUAfdPef7I/09EtpAVN1PNaKq16x xtxOy15T7TIUv1U4J6ytS9Yw2Xke4Ga1+teqhQT9jq6Ss3bWqjxHWuduhlEfm0dEbvhc697im1UJ EA0H3Zlbr8ryKO5AhoTvPrDBIBM31n+pVx6sVx5ViTO0nJyOgd2XovThwy7EZWvXG6zP5ERB3ido eijyN2zzSTzFcyl/StLggOf4yrKdnWvNoh3YWEWN+hOCXJSLEyyGjL1wTSuWNAUhKzM6Y/dF9Opa BgAidbw/a5OfFAuvI4RjaDM9crwtTgT4PRwXshJ7N+pyqP/jhXf24ZUGT6hF0VXi3SxpfJQ7JGZA JtdoE32pT9jQuBr9SQCWsjBYErpEv4Bt8f6PYLiNncp+gmVLEt3vBHH9zcOaBhVeDjRVZNdlHAOk vtzmWkVdAZoXyPjk12gLrud9y6hH1IfT0LYydiDExvF8zQ3IB5x0DM94DEWcyykARUygt8B3vAqP s5e5q/72FRYhLaJ+VWrK3y9bH1+0+P3oi8P25+oMHcEKMrl8M2gnXv7p7fO4G4N72qFDRTIfsgHU hL7HW5o8tUQ8eJeSNUoJs51wGTUs/roMeS+jO/U0vavyx0+upBy3GT6jiVyN0Uk8OJp3hx2NA8Fv mzrvTnysuUC7J9wN9mtWy120a+G+SIlpjGZe4Fz7ooiB9oJCQgMInbUHLcURtqsQ1PAUshaAqa0m v799U803XzgIvdqGgqlja0r4oBeLCxu/yod2UZJOdVNkvi7inIbnqFo7GwDOg9u5tBjsVz1Heqvy zaXvd8xaIP+fr3rbRr22II0ah8MxcKq8qE4+rlRobZ1h5QinSXJRW9yDKmKkoXPxwDlDADwNi/hL 40XNRZ9kUSw+o6wX21j8LsNa+Sf//61/ChTGa86GkqEBIc0DVmKltPLivp24MwfRReYX3wL4qsLd lyMsNoKsRVlGw6PuzHSVBEZQ28Ma7/pJUFoRa7A48wzTMs/HcXvif37qe36DfVMIheyoPdr2D8Qx 0l3nqCW1F4aiCBxPujiBCwrJwxQdA4oBqQ0oYegOBsCjSSuYFAuwJhnBUNiDC3UwecrEH/1qS6HA +DU/Zb9m9MKvWCVXnT+MBuvDlQ3a0CY90AFUUFHbUpeocnBMCb7g/xPGDGt+UmaFpTY50dFWFXoY luV2c9xmTa0nqMpPg+fV7nKwszyRaLD5SF+vqe1qcXM8xtbEEVhil5O+qjK9MAeurftNXFxx9CEV wJqGkW0Xt+M1zKf3JCePSBVyhVsxkNQ6mh9/nHtxTQ4qJuPErLmT6+I5sqHUorF/pie/+FEoDEV3 kLF0z3eW0Pe++iuMLpDJZ0Er472l1HrmBWH1RzWm+mASyaUR35bv5pk7UtFqwoYTmrZQSLC/EpBi 8Tm+qBbruJM9BCL3UZaJziGPjlWOfFmYiuRaPiOusbwiX9l0FNerZNIdfDoY74QCnlNzWsOpPOv5 EGT1bM15FJ3qvDuOEcWLSk+bxI/QIRrYPioIockgmMdqGDlCxqAFW8cl0YFZ3jh0CDiJLsKzsXl8 qeo2OKJB4v+9XGhZEqGK+/vLv4ejz+EV/jrOBPxgyS+MY/l+TdMmxDEwPqkZPaafP4VOFVT6uhZk yRoh1Xwgsalot5CXlMGeOe1D+DYWVMz8yfSFsbAWwQWuzNUnTfpn1AoEqyqcD8esHhsV1X6ziEeP PJ1hakemLBLUDZrWIpfkMFYHnGIVzrA3fHRrWkQgKL47zoA+q8S1IkXOWs038l52qDlT5nRxPpO+ 0xtHiwu5RkdIBflRzJERP8FHlD3KdsehSM3GDzgXgvZelb2PNkGwqCcx74Lb/7+zuGU2EOS7NC9y cum+INyaNHx/iD9/OcSjSQDTuJvt4T9MpCFznzLZL5Ipnj429pyaTPFj0UW13atbuQhcd2arTE2J nbnR68A5FQVbBoH4324py8p8DWQwCbyztouI9t07DpEMsk/uYgII30CpNiom9hF/Vp0kUL+4nxoq S4sUf8CYI+GpSCoFkxcDQvPyL6sd1h5F66cVK0djeuEHKLSZxnDcJI3BTP6ZSCPig7/j1FeJxEJm sgVUj6OsFiZHQF0Jb16FRxtNYyKVTHT3f8VV+ptNGVHqirKKxxIHPVelJnosAH6CSV/h+N56NPJS 0FrgE6pKQo+tSoyBCyri0VrvhD4ydsyf937lhMssoEu0xJUAWzPTJlIy2n04Hp6zIwR0emRuMHH+ BlmUYM4oOuBfgKw0rkDF6zwpOkDMdzbDEPsHQfodNsYM6MSERbzS/v8IkHJrs4uPHZyEqXA8t8FI bJrgBHPwS0DP3k+93iXAM7HqLIzxfy1/y77LmZjkwnFAenksylRmIjQLGVzY4W8HI749y8kVfZBh /G0iLdLJ7E/9nfATyovX3+vyc5/xQpUCk+qgV6cyPQEcu6M6Nq4EgYC76EdnDRo+osi/n2XLLs9M HTJcnc2VN3MomkQmF7/VZHWMSfg/OF6eHBqK0zi14uKl5DOLAxIfHHg1YC7SA1GyAUtMhTI2iveN iHxiAoXGXKESPqdRk8NqxG/7Q1L8LmOVzu8GBvAuP4XmzDEDS+Qrb0eS4Iw8/QTGcbJGqWgwalSJ HmsNLNQl4P0ZcAAPnbZRTUsfuSXz7KJ/t43xLJzl11J7UYJV+KIreRF/E0CDYHv1Yf/eWXi82CZY v+MKWKwUH68fVxowqFHTY6ozPd3PjD2F3Mo+MB60+me0Yk5grKXNOFloVlYBcQ+uUzoJvEOKrvGI 3TRSN1tOqQqOCgKj66SzMutMaU9XO5t9vDEtKKVNxnNHP0EQ0HFryY8wrsP0YB82pYs9uHS3Oci4 9L7Gjky4Dkqq5nPdvucSUrwDHDEeduvOiXJb4EpuUhLeyJ2ebsJXrXISUmTgczYY/fdeDWlE4VXJ 5GLhLDsRjUJKEYi7u0JokSciMsRH5jgZhP8LoNoX2bqRMiDy5/ACPjNIZnXAy9ZCXgeJllpxfV6p eDi56a4OdebQZDNtgDhjMCejfpTRHUlO0XqbmOe61aY3KQf+RxYT/4ska/gAXQZxMY8eeQbB0+FQ khp7iFlsy8M/sv9JY+X/Kej+S1UxJthxcfkYawRPH6O2VPhmeRowWJKn4eiO6qSdyFywNat2Ajya 6sP5VIpQSmnC1cpstn7BJ/m7Kpck6uKpsTsvin9G0MuF2RlpuYIicGFfCZmQ3nzbZD9pEzqYweYL Y9tXqqS/icNaMefnnDfAyiKmTRHGekY2qPPMK2ZwnS7eAwdpuqnJSYr9eoUQzN1Bsji5eBW9I1ec W2wZvUhpux7RdV5P2KHcRyMn4sWBur93BytyB0GUfrzkOIY/wUSpUqIGloo0Uo9Oo/G8RCbAsIow OeoLscFLM0QeoTw7mJOPtoXn4vKgW1NYi95VPqBNq+6N8xfmyE8CyWjDKJp/kNR5r7zZCbfUQMYF cDwQ8KrHynRbjiv3sERYxi/Y3e326v3XUJcFxGgxHWbS/aadESPz0f5lMRDxNpph9S2Erj8FGFpj iuHsUr4JA6NFgV0bR3MvlGdcGFEiHaz9awrNqgu+m1otfgVyMq0LJUuyldfehPYsEKiauPS3l1PQ nfpEYLZK5gkZyjlVxpq34197w9lQe5PjYlS9kPEkLP3aMfA9kkAVioGDAKC/iNUxStXbL3uZTjyy QRBISIxUfMRD+10cify2JG+jX82j+lsWlr9kYJZnJr3Rf4ialI/OmEn7T0Ue204KL8Gn+zYtmaDY OawXjk89mm0uH6cpi6Fa1BWyGMpEEfSHsTNLcxY1LOQOVGpC2kQt5euftxjN25ozDkQY9CBub3pK IoNhFShAWoPARsZaOujFcepxF3lKiTe6q3FTRyKYikQBhj8QuhpWE/L4ng4Cuw4wmZqe5ZbSx2Xv XS2GXu5KZriBpRjivdUqfqyxJJJh6VpXM0ihBZksemC2gBVIwTAA0ueUWg2fC97sIs71PBoUTM/p xUHbrESJjEOuICA/GqMVo0pIDnGv4EVF2QpjDHEk70sSMnkHx45IF/rHdjQFTJy5WKLRe5Mmv2nV f1w8vcw5gyocBaGK6znTm0E8QbATeYVMPItCCs4HaOARHOH6tNNEPIo7O6viufkjM2CV7G02DmVf odXUjvepwcEygBbKgK4l3LiA3uDmpjTKB+LxG23/OAs1jVAvsfr5BpB++heNW/hTBwC+WWs56UQT KImF3VLUfaB2nxO/GT/YztAbSUuNtl4Y9hoCEno8ht20evahkvpDyeP5h9NgPTCZBt3QHs9avJnq EGbQ/SzUR7/Santae2nXGtrk+h8y6+/1L8UcRYb905NZo6ugMsJKnTWuuhBwZ7+KahvZFJIF7/CT Gpl3BaF56wa1vHFodS/nhNW7EDzX0+C8MMKsd5rd7N5s8PSXkm3ABgN0XUPhYTscZfpczMswWbrF z34qoAQXp2q9mFQ3NUtT1MgBJDeQyLOyAdtgxLeggMVpWYnpbJY1QC63EXOg5+UoXwe+w0nyVw+H CqA5+wBqNaoJyX3R6e0t73tpWsDa03j0EgrwojQrOBXtEc+XWm5RV3XqMNg1OzkbhexcGYVJ1Psl 2fgSYQiDRTri9PszTskZcUcDT37kDm/+/vqwZd/ijj2uaztrd/+iUZwrOYhLWIBCmJVH+FgCauHm lmzxGwk7V0KkMsM2mAqwf1ZZE1JDOaYr1IZKkCRpg4KY5ZoZ/n1iGJpgPwMtislJhAYmNHKlguf/ utuyVMcez9Yj6w0dyTSxKttq2UfpA/wOkB0xlckHeLRuTL0rw7rOkBkyHPJ69PSNq/g9aH6w2cRo /+4EtFwwjue3r5W8KnA+fFT5el5W2OdIRHCJSmyUjZxzckod7lxVolkjylFOCz3ZF0qlFL//+RDK GTH6YsniKYrB493EuPJvkeAdKtaWHJaAyG/cR6lWV8M8Wbh+pg0qJHjv3MJxj+EVnzQiTjS6i5RV RFrWleyh+LVeVTvTW6/sPaQPYNi8o3Zjpwx+NrCP6bzamy4K/AZV0CVW5Oah5l8d763t7QO7b7gy 64VdX+m2BHYnktB3SCSe+2G7ldmsNxHM5rSTZeg0tcyvgsUNRJ21MQt9qiS2o6NUidV0mAEUmn0G J1yvMnKMBM1FF6xBd7sfjH0TVDm8e5rCd+R7rRWWQF8ueSdQ/7ij/VqeNHs1bUhC1QFk64zfl7kU DIVL3w7kUeg6AaDoDjQWUNRiADULOaC020QS1OsqR9mA7aqpVuZdI63cbZp1MaFltF4Hk8sez3Hc ASzHXDs0SzOXu1zH/m0VY+Z+serTf8khyNcy9iP01vUi+zXZA3BO9Eo5thRU2O7DH07Iif9wVt1c usAq1vBp9/X/aJEUVVEUMHG22ljAnHMLs32t6Gg7BwrPgVwo8GoNEYwO1FG7q9maGIiMpi5A4hWm lbW34VFTcxUZQ6lvrFOcqmcqrrEq3pJTTLa702lqxSS8ldYcqMXxkf+NjdIzyeOyTRp5S6cLFvOH JGRB73qo3m/lvd3fRfuHFJQkIB9KV3aTJYpZEHkrftVaddgCZ2AK+mVv8BnfgB0uNoXH4nI8WeJP Q/N0XjNqI0N63cEvhZUCtZ9GykaL4VLTY2O5vuTEWg9bLLWNje07ckH9KAGj6dTrkTHs8EHjVaMF y6oUwQrjdQeYKd10BKjU+pJDCGTX1HRlTfhh6afCrplCDkdZIkm0VDiCmizo5Phtj7eSLLkQibP4 4UM3s67DllWMd3+GadBWgIntvhO4QRGLRkGuNkP8G+VLT/3ip3MjUbv+2UnrZyWZAOlcMmhIGOq6 3fEpuzz25BsPr1JeZi9KnQKQhRvAFueutTci8GhxecI+hK2r52t7POoMWPEuNP8F3BBuLYgxRhC7 9xLH7LsJAc7wBcJm4HIQpBu5TGAROH1opK0nRUjoSittb/Zbu+K6K55+E8mMrt1VzQWl5EGeLvLh qcT6IEhUzju10FBHa0dVlXyC7my7joSvoz0vnJRpUjJ8nP1MLunlEmE7QJl8lCZ6i3/lPQjOuKYR m0m+YWbKktAIT8ZTV3DPWrMlnQTaRcCFx7Y+y6FysnZJSrTd17bV7yNwHea0liSlpQp/MxOzFz2C uE+DkLYYobC6qeHqLmwq9KsgoZAf1OUbmhRyGiGHBF/73SzR41sbeQvaW8PVKDRXn+8fDE0Yk7+8 F4UJjaC0wMtmxEY9KnAKzj4fQ5qLXpEeY4belObwD2LN88gPP0A9Pt5ScYMJrZmijOqLLQbDipQL 79BKs0589DcpX4/G1+WYHqRdQ+X5/+XIyfpwus1WJfllpODm3mIsfZyOWSeFMWc1e2DOpiRAOgyh 43dNC3wYSkojSUlgMP7GfHhXOCvyCxY1ZVPvZSUBnJeBzJsTDEiPbFT5g+guMMWfgXPmf7TdNT3u nRUYGvax4qJRw7sousgFkabZyIpzRKJMdB2xqNGROD/dNg4Ag+Z9QDyeKZ23J7YqCMFZqjiol8WN KgOkQFyznfi8BJCFBuVOxsO5VTbHueyFda9KRcnTMXTwIbgcdLkfsOMSXyXb+LSAncfDc0mk/A9t /zDq4mkoRUJ8CEX1ipj1px2sLjBevk9Hi+mtNFN1ojHYMBRABjAXsYdLi4DYody01p7nIUSTGILm OCv2K512d0Nn/JWawK+Ifieya8xOI4wtKFaCDoHyVpp77Hf0+vq661CTIMK4WePOXWcVOczpzUD0 MpCIrkeQRmDW4U1FeJipV5HJEiPVQ5bQvJzDd71yf6KCNueFNh9EnA+EF2XL9PnbQcbXj1ukrXat VUPrOIbXrwbWE+NWoWVcRfb2VnYmJrVn63ErWD2SRLrslIlaSS8Cz7FctEX6V+eDAkxjqVNGQCb6 wKXeOWmZxSY1/pf8HH6Y4+JNVo+tFneFz212LapqXjISLED6EgS6GStg6tY9R/b4s9OS/kICJCpc IwEvkod3iQZKTBxyqkz4MJaBfLUfGPtmfddhx+7xfOoAQ4DnnW7Mpljzxtet0OIBRWr8WCa5nSE9 gCpp0MVCvgZSwJz10neUPuojyExOSgSRRZW73HlhGBZxTo9A9MmoyGjR5YrS/OO9i+h7zyPaRlD3 9Kd4RdehW+KW+xOX06WCkh3RRYpDPz4a6ItOIG4LNC00dcg9hYKA2OYzIMWFAM5gwhNvwffsVy0H 1ZiIZszo0NoDUeQtG5MttHLd1/vT2qlqoJjIZ3CsO9RQZXD3ydhLiFb2AEpfIsryay5eMJHJp0So Qwnte2/m4Tn44ODPkT4oby/GXi1Yq99PQF5MswPUatJBv9lv/q/tKXwyygHa7yX51iDsHgbFUb+C scWIW8VomSOxlt3kCACSkS31kksKIHW8a1mczIzr4Vi55szpbdHWxPFz1HRSR1wkIMgsKKe5LRAj 6/4/jQv+sbAtFsRaHWZdYjYHOBmVH7GpccfB9i7Xng7Gh4D5morc9I1FB0YnQAct/sHxSZmjV4YS +BPso2cxUW/aKYkCNlTp3EboOgbYOm+i30fqNWgqhoJs8OannK1+lWjE5FuPvRhBc5q0MfT3NUFJ vdjBqEE/8TmUJOb2LgkEBmRYLRBD6gWn0Q31lEKKU10/gGioDK/5ArO1HEknGpyHreaVBRtjitpe nxSsHwytJ6jN9oo86eNZmP4wJTMCT+gCjK4q/iezDP2+Tfr7yE1bgEtosyBLKe+WCg9pO/eoIpPG Ce8kM4vmscvs6ASj+XHY+NNgAde0JHE5lMHuR4C72ZGcH38hCX5eXJiDI+OI08o+Ggwieov91koG xP1AEv0Y291/CdqZ+ySLmQDshrkgA4NZdDUwrGwE980CY1rrfk8DJZb1G+VHE6frUJyX32C1HsLd LojR+CCBSB61PXPI6VtHL+WrJyRGLY0IwteBv2BZoTgKXDXdrBCBQqliBw/IDMRQiZqex0rJJ4Le 5PF+5UzbsmyHGHvYhfFq3rhckbWPyHekKywxJ0ldw4SBCerKxyviLKbyyWe0QldcX2DwjBDp1L0F nYq4pj+NCs++Rc8OIAemIvzBMoEDKNa55jIQ3d8Ssp7YY0VdhcPUbX+C1OTSer8zrq1eY+Oj5Im6 W9TG+lZgSZcLg1pNofZxkZPxj2KyeeOo+PMirt6iHDFYk9tZa0OG00KtQu+uF9bSmMOmT2gCy3S7 naRUv31a0D1LqW7UwFVDEQwHiIBc6eWfIOQ4EWdELoT5FRjaR0DnkQS9iYgYGmV5Ch2Hw2nWQFq8 XQBIbGG/CQqLan7WDWr76I5RmL4G3tqMiJIkj1iJqQW26MpqidL7dqrt59Fs7awZbuDL0Km0zVxv qs6YQ/sIS0VIdnAazl3Upd2lChCOivJbN9Up4yRNYRsHFYp58tkV2BWz4H9pH4uUtYxfiBFCXJND xxLm2s7UqdridtSUde03UIr25RzNU5Q+CRQj/1trOCh4VFLgqHb8Uj7acfUaEbmtYBgJG6bbXLU2 Yk+xk+3Wio0Waqbsr8ZLTyQicdvABi+OiNObLANY3vVupciz8ZeAt+SgS50VjTA5ac42s5EFzjbB CKytwl2rbY1BsJmVWj4ACGq6MdWRN6l5wFBclC0kYWX73D8vtUGAn2eGb4wdZr+blsLiRUsloDZK 2tYjItIuZOfCalpO6DL5ZRDcBlcq3YY3AEkyubfMarqhfMKhVjeLCTmP3DEpfAMrXnvAitGon1aZ gbSptulk34Fs8UG7AK+PZ5oBDlkzVFOQt1s4FjFJuw9KP1sgkTaNZ+1Y9DPErDPbyDylzw9rdvBK TKpNcg0GFeGyjY6Crc9ywI9u5DGPsv/aS0P+3ymoykFlMb1T0T+8nWCD59jSNoW9ICCbZwL3lOxv OzXhH5aiK75IGoIxVtx7xXY5ZLX86M5PaeL+oG+fdoH6j4PiCuuYYsF3rzxtts5yZM/XC6owpJrn PZoEMANrPw+F9O2ncVao40q8d/8NHXf2VDFg6VQoIiE8EtQb8ayKuG8rXs6FaAZdkVsIAFhEM/cP oC543Mu0o8Bq55AoluY4yDj//d9fgv1w9lKsQ/1Mw8DlCq+TkJj8xE2TtzM8VMJAHwEUxqhXlDWS 6n/Sk/XRNhuVoXmAYfKAUykEOmaMLd61kLlEbgswOcEXpA4HHKHkv+x3Vr3Gk93ms0yltIsUrRmW A6M2sBA5JrFqLyt0fBIM0Wfl+b5BavzOFiJYKN7dD0ewOwhoPKbfdhnfvjtwXYLoy3mMqx++UrTr /ytRfEbeRSJJ7Th5dtySd5/SdRVmY1qQ3PLmhXRFUy71SjJPPP2mGpv5KZmsptemhX7+7Pn92HpZ pgXL3RhLdKE5hqzc92FK5P58ze5km89CNHHBWOyh5DcvElAsTKNmxWinT8AZaRKfoS6MohEnlPV1 x1tDqe9rj2T9poezPjWVHdSJvlBEkvCdQbx7b0miRoTdVRZnPObdS75nFbbF7VvDa/xxL9rn/OUQ /cYUBwgBp1y49wYl9tpcxBpsMGC5VFsm/csLHMHcTxIvbQp9w6exH29GuXhZ7gkojhbWbdSoOSxW 3cQ4OxDJ77RSAuxEh1pm/N9RzlRG/lhcaDachxSsZhA8FY47k5W/uf/Ij2mD3DGlrhA6z7NrVJHE fS5N7giMzGu6Oc7Jkb6eai++IM9Onwf5fzcIBbFoV93Z0VLiEUJUI5wQ0R2RWeRXjChuhu2I8lsc etC1+AP1MhmF1sm2xbj0NqRliT9u5kKEQb01EUKWVf5paOnSRYE9MvQP98FPh2RM7vHfo6CR0P+1 5u1r/Quf36oKLKZSbBNCuux2MjTRpjFN4hQKXmFN/Ef7wF05GmfKqM43mLjnUwISsRxD3bAhgtgR nX8CO0afcHQm8ykhATvbH27IfNm9CKaVBQIie0lwH7fysmz0VfS7CGhfWBlUBeUzft36j8evTfGB WbsKM56Rq6+1j4nmv25iFhnkVXQIlT7ObwRGSP7K5YZ7PgjGM8/FcLGgA0lmKjpOIeSvsoAUQ0VY kdpT2QcCpJEaF13t614L1gCgFX0SfOU4RsaBBBBSgWEna7mhQuS+ioN6o3oRG35jWz3TUPePrd24 OkcVOaMZLtmaw1GZhEGudhOGS7drE/WDfC4ES/wfArp1HbFFPHnnHsn/puKWSeS7RuKMduntCDyl 4HUZKTw1diirkrPa7M/txX2iet3507lRz/NPpz5sAb/GMjCDNsU/gBJT1I72b8ByfK1dDxeKR5+I miPdlIYmw2YyeFKKV30y8cTU4j0zfWHorQQqIJAGvZDqaejTIhzVXaJ5RVU97I+LTZ1O8veV0pYr I0Qu3jPnqhVW2pv02LSPXQf+WzVJK79ODoCCDaEf6+iptyMywkWhISllswuZ3MKrwYaq0rFzRrkM pFtr+BpZ3+9H27HIpo4rABrTPQYW9uPmdzgZY6k6CSWguI+XgXZ9y76xeNnktlCAl7lNTFPynOLh +z1zntxWflpXYv/rUEjLvnQmYcVSARpWjTpli6Yd2ZDFpSzADht3h251J5aaK92ZuOMibeq5EahH K3/CtdC/ro+6nRTfv4TbpxJ6kAMSgxZBdl7nZ9XA4wQ+EXFpKjI+Oc87t+yszmIyxuPvBtxit8FO 6BJk3lddp4xhZiwpWpuAhu5Jp5rXs8K1PNKa0d4FE45rcO5Vm+EXal+YqmcEnQgB6ofFNJUvtyNI h5lRbKVuNr0Mt1csdNhz/TneilGjZ4sMjCvi0E1iY1Nyi+qnPz67FVnMbif9k3V676DtVdNTz2vB TABeS8pggd0m4rABSy3lbS5ceSG8grr+ozLfMiUpyXACgyiTdaLB58VTMwSxg907ndLSHe9hvGpU abITmCkLk5U/iBGqLaoOqUIM9IJTDTwF4YsNvyDx9HJXtEpXD9quG+472X55rbd7VoBE9YzNjjck cMZsWIaCKVFLm3Uh/E8279voKySOuSxGbHhWagf3ddpmPMtaBNi+sLNN63x5SGpNzTWqOnbsTxwz p4Tq0slOOFKqY8HG7kAxF7U4ux2ASKGBcKqiglfMw4Xds0/YcLmT1bOtX/Oqwdcs98g3h5qyyDRU sZl+0L97F49iKMO+dkreMj0MI/eyCZpu/lltDFvSKOjfKxfUaJh72ygBpn47GmzXm8pWw66s2Y/I bJ5a7NRe4264lnFBCcyRJQUi+pa0VOE76vyVfzytujOqCJDmyX+1Feqk7Qh+kzPmNZO68bEWP2Ty ZOtIDh5fXLoT4Zfh0e53fjaryQ7dPPlzaiBlbcbmQDRfe0HrALSizuzSGKiD3P2sto6eKfDt7ki6 1AZzE1XAlDlJhOgrYQ1EhfWzBUKn2Hm6fVGXIOySf+KEBoqszyfQQEQc2B4UL7F8ikGzao8BlR5c lf4oWLp4RFBsgJ+caAYRcZIuvmeVd6MGUxQpSDisq9AYE8Pz6r8KFSKUJe1qX/KiYAiGWTYmgNmI 5BH4daUuDNvo573pCjizkF03osPCYhnvAr+Pugvz4jiDFkPB6S5SFTuTvuFLW9G+o42IYw1djwH6 /RddkKxaaqCM/mU1qD07LUIep22gL7P0+Dh3OZKwXPsYZK+DujgE2hvjcqAF1whxmIIQdMV7BiRx 4Q79My3HzwrJVjPRyKIRTiBrHZ3BhkeMCX0J04Kbv5bYvmNteLIwq8/IIBTBpOPemq19o7cBksCW ztd3TksQn6hVpEyVoQHuJSwos7gwrHGkuJRhowia0KpE7loTE7JfeADrI7PVZqTE6yu7ff+MeY5y E0o4PsFeoqwKTi4tEZU+iquKeSzGjg2Q+QE+sgGqwW7rGzqDPVo8UXSITuxDwHlDfc8TpTxt3BRj 58+pLabc4sy+UYsDkxoGWWhjHvA+ThUou//Grj9Ta3xNrJpJEgE+aourovDj7sKnpUKh1bOwtWbN shcyvbZ0MD0cW7ohKnrDIwRAmn1Hx7Uil05ifiwoscqGmIpu8RvVKdhtcn26F4CjpqDmcwq2g9Df Z28H9GKkn0nlPBm6UtaoqyaP8AUxK42dv//G5Rrz4FexRccMaJRqZNlzG44b+h/BegXcaQkWn3q/ TA5KQo6Q1D6FC/zGAKXfazdMZJW57frnuU/miSzSMqP+hfnzgpSwDqinNGuNFHELkmVk+f6boX7A TnBxFY4vmLf31EMnf7IsQoF2vu2ZDTob6Ph66xxKeQ5oVqPBSsucLfoiG/GIjsp2HUM4D3k2EFgT s8eoP1vH6tQXuwCSgbkdVreOcOd9Ba8SGkQ91bWx7J3V8fwYQ1wwCCzZml7dNEl3chfuDSFwLgIB 7uPu1ohN57Dz8j8GFIgrQ0YrrqY8o22V8tI+0iyZmQbIXu/SU9wStfa87us2MVkGWoTv/n55JQSf j3OSiuynB3Xz2cnKtL4TE38D/mxDcH5SYNNuv5MlMFIB5k/beZ1KBrxJwKE4pyCF/VaWU3RrF1yW z4foifV3qyOClvbH4yaCjcDAL63L/R5jNRRCA0PoSdhlfJTbSLTbNpRz2P/6EL6/6EdPYXiO+8sP VhQI/6QdoneLOK9TWZKQ04ry3cmblPlk+ScrQDY3GhbiKd/h5K4Z3Oi9oEXA3HiGqbqfFGUTitvb YtRzm4X2gmRw/T5WLFQKNGgnHObi8nwVIwChiclTsyflxnzlu+ZqWlDy2QHZB6bzGTd+W4yJnqek KNcsypgQTxCeXc9XJif4sVBUuPRvIbftKgdo0mRbf/ofYdIExJY72ln1V/6uy9B+c9p3UElWEpbe QHQ1OjgWUZWreRttpCvJWk/65TYE1znZDhYJdynWk3kXU6srJ+mt9oxPnuoCevY3E8L2YCZLtFxb RbtVtToTjrv8wAZjx98GIhqa+dWwSk5Er/IdfCryCLKwhuegQs38on/lrjwmlbsXGSq1ey2iIENc PwrONONUHUB4GxFwcOM6EKujV89Tm22vdnXbsYQFLyczGcbPJVGfAtfqLrO2me616rLVMfnpNCja 46p2QeXHK1wTKxRd0Tu5vBjjnYD5DthYnfLMFT2nHi/j4HR94r7VKhYEvAoOr7kKm1Gx6K30V7yF 5wlZwbx7h1wSZEE1ArM6twqSaa+H2ICObmy14r58HUmN1i/gjBI1B4+YQD0AaIrRHC6aARVNolXa 6L08j1sMlpWx5Ixuc1aolzOSUz6WWn1gohcyOVYb6GbXjQrRAjgxXwSOzKTNSDmhBz0rHprGieV9 43h71pNXuBIWlT/xtpAa+nVtEGLpla+wotIenPYtshgeQtYXRQ3pryxOqbN57U/WGnDiSK26Zhfr pr2Ltd9ozZ1JJSjohS5hIeTEPmXDmFGyz3eRhpfSIc0Iu8DjaEguF6Pudw2jN7O3JqQHnjVWLovW H1OlKUkIkDkQp3V/bZYJlQiVuwocasH8HRPFoO+thPer3kUoxvwYDzUG8AKd6NzlmNelq/KTy6Sg BkD39wlfM3fuS7RHFRqwHd8hpjne1/hZvKO90H3eubaXxCopnyMhL/JsAPknuYEhMQ6d4l65eFgH p9xAYwNdh27c1BG2eoH0AWf81VIGtuNW10MWKfHjFKJY07MCNU4YRkdMen9mU9MqXbDvKeeji34v BK7D4FKpCEgUgWHWgzChUjO2MwVnY7/VbSmYK1pqiGP/DhE/4lL7IA7CkZu1kXHFdidXWwCQiksV pIqt4Yk71Xewd68lI7wDB1ibkY5uHBHS+e82EJKMvlDLWj+hBm9IWfu/oQr1Ws+Vc6wa/q8qd42I aOOfyJG2wSXJShE1kJoS3ffHVzVOGacVzkZSvyiLX/Dv1pIc/JwZF4opb+awa86iOUPAp6oikGWk jb/GQGkX5925T5YlI0DWmJB3ErWvWMytp7KsYEj51ZOVL8y6BYEjWK7D+006gUms6MzMbvXzJruW WG4tGOAvJh8NTTqEHCvN8KJ3ql9RlLFjooxOPtuhll0/+sBnxXMhmx6cby4l53FhDdq3NmFYKu7r FeSs9qB8A9FVQigDZD/5RpHLATiZ2g/x71vVx83btP8h/AxKYBZ2/DvsPFng8hiAAdh0MeemmIR6 tR1NHs7hrsWQQZSV3z7sTQJq0SG0kEvuVR76ZG01azjJdr7wgCg3pxCw/OuIwe5CdG5JK/fx7KfN qPkvtMpf1ykEcpyB0RL4bPKTq2rsedJUt1TsmIiTHbiiOPgmSXdf3OvvmjHj7INlS4XY3c0lyEnm zzt4zapSxkyM1t7xbWVmB99+fr+XWS6U0dxvfZIjyYO6EMdwOkFar2kYiqNIBOLO5V4pakR33DWY JhoDs1j7GdQ9qU+RKf5IRgTDJV0dU3PGpTwi0GfhOD13nYen+sguQKO5Gsd/oS36YC4g8Pt1CCSp RQt4gECa8XSrJtayNU0TOw9xTiMmcfvibBJngB0PA9gHJg/pDzszkd5erdqWqcQksBf+j+JzwVdK JxJmv2ffTLjFx5FFIF7L2Al+aKGzn6HmXmQstTZcRNvMBewYeFpMs1chEiZd+l0VOk03+a8b0Dxx E29viNB73lInEdA7HHLL0dJySeyedL53vr19F1B2CR3nYQ2xMEx+WKhgmLrqaLcr66KZleSgo+2I WN+ZN1LiRhqc5TzFYJr9Qdw5EZKqMjUj0wYAZHwtbb2nCivYRrdOUqbbTmIskvHEbaVHJkMwhRRe 2QpS61PQzeLRFySb6M+C5I29vwrmL68GTstry+S2/DImvWL1scbLa8TD7uvn64wWzk9BF+gUGOD5 A57QthAYlSmoMOEZE4RebBvr961BVXN41f0fMC5MDIZiZOmEN1XNiFXx6Ig5D8yks/CBIK3WW1Qs XztqblXK1++D8mi5O4Pxs+QSVcP0w6q6cRgdYnUVhY0zO4ho0PRkLha9/G4WJyxm1kn0AW7yHS0L E6Ek4UlSKmn1WzlwOsp3LrH8GHDDJgiSpy3OZyjSl/XA7EetXUxwZUCgX/nufF79fTOpiG5pkIIx aGxkbxcO+8BH/UqTCwtWO49WrGdV8ZjI+xFxrCxzivehOyRm9XepoG9ENIgtORwR7++XanxKPkBb Zyette3u3x5YRAR/tjniPGzFK3un9uQiRXwq+qLob9qQC6qUaQ28k8IydgjCvx5oYk1QfjS5dkv8 kYXZL1kVKp2BwXVEDWBkeQPoNeNmPz/0Fdn1titimI5sH65wRvJIJW9EwAuh9IvyDKYvwEom0gOr xs8R7t3D/bB971JkjareBPxkO6XtMYvqdTfZVeKVjPJ1gnVmjaO+DHuStAEiR1pZm+ZIxA85RtgZ chlCaT+PNxXza24hljrPU77WORRedmJlniu9bCag3wcJqgOkz8J7dwIUA9249gijhwJP0naKHiOn dulJvLr2beG5er4Z0FT0flGx2sjHUeUdVy4dLvdYV4Q+cQ17KcUs/0Zw9A22a/eSzWqIRvxJWDtO Ntjimp/B0YwtGkdxq09pFB6yu9D2JBmRClgahLVBGaMEeEzCRSFlin+dS5LpcbrWFXFA+kpfe1Tv 4v/jC4Z+F/+OjdiblV44uRRdyh6iMSCYQmqUfbCJw8kV0ebND8TI8NpBiWrTOD1m9qAKKeaaJHhu e7H69f9njETqcZ2O5fQUzFecPH2/9GaKGYbPb7mHl0aE6f5+Tkq5xdwAeXQd4dcTQ3nWrPeLLhoN 1612CIcex2ilnP56c5LYYUj1Wv10i8EDU/gv6I8cyhTvxEzu2ytXZHeOJXrRxLmxJTrLmDFvcFWu K5PK6G4QGksfOarUXHbCTMNBDdz4pT3fMV3QoVD5bpyocTtj/+4uByH+tT5ZbHlqpqvK+9WCHP1F h+Ped8PdiN60JdAxPQTK2cZhZQfi9gYy9EXmhd6YmO4CiWzOo/dgCLe6E6kggo5iP5rIFBuuMGfK o9WNjR9Q10vFUDqh9y2cxyTLUOEbv8HBElS4sFF4ITlUNY7p6AtnMmPhyxDA36aKC5BzOnHkGpqS 1QOluceTUTeBgeX8SsMGn5z5PGOtyqmW69+IU1UW0jWofZBAsI0UdpH31A1pexlHJiTMDkCM0lUR OvoYteVaVsY1tEBHGqHOCcEfryBXFo++NkbXTjRydUQyuF/Y20ycp2D6PCH2amx2jFCxpNoNQPkF mayfmcON2doXJQDbbuBULS6RNdKJH+ZKf1pN8DSuEfOIpB/2aWg+fLPKR1ND/kI4m8/AFBxtilu5 PnoLs5ClwYvb/0h+9F1TQOFNZpx6fklgyMXNW7i+ReRGozGE3gRr/byWD4bjz8zd4EwLibKOD2WS P9CBM7x4KM9AFjtMxwCHBVjB8As9m9pTBaABqQ4mfTnP4/GNdxu7FLWGCvBof/+IEJ1cFlgORceA btE5Gx+k7JRYW2ahi8NPqjdEv5AEhLqdv+TMyJeZBi1S336lfsddoQFQ/Bn7FnUnguaSQZ0KwiO/ c7AvPyj/Bw3Dk39g+FSkpsLx+URm55H5/LvSW6ajuxYLyVE81WWB4GnZn9FKTfoyeT7KnF0LYpKq hgxGr08uc9oNvPvkHbwycTnQDRafqotZjdbPWpu3CetcQD4Ic32ckrouenuSXb6RcP+dn+E0s/2v bFfmfwviPkeIZS1i+4alzfCpRT92HXjdfk1Ifsq8wRJm9Ke3pFukqq6zvpqiJiZS6hzeUMC4NGLQ oh00K/wYV0GVgAshiSQdq/wo9ziLJJdqavZ3lAUKDZ3OvYmpZK2l6IYuuZwDVD0e/gwo0yMD4Gf2 bdbLwG/6APUx24f+76/KgoowgyBzv/IhDIeGu/1END7dDFztr/wQDriTsYzu/FNGLixTi+eKycI9 LNxN4iq0HGDiu0xSCjy0t+AxiHHXKOUkeqzs1p8VO8FZvASVmkOLQac+hWUUl+vlYVWfOVJA54ZZ doWUVaWO91KH0m8fRhHJTlPFg2kwEeogvCmJybJHVSstmE68oKa4OzcjyIBxysSEKtasW/NEU18W 8recwf7aYJgXJD/GeMccsqSoNW3ctw1NCtopLHLeFWYbr/M2NkAEO9HvHYq09AHJgf70IRUMHpcw +VxzxZO91W78tqGznttJVuHbh8Lnzr3ToSsPzRfB1DWRjcODdeWYnJVEyOrZg1uTPdewrSsWVzsn CM3JwqN3Bpjs3X9JO8mH1AqGSeDxRv57X3qVoEc/LzyFOL4RIaMM1mPmzh9aTwJR6mcAypFLC1aS VNu1AWN+BADd9qKmP0Gx4ANk5APPGbYgGnhugDsnv5ITVzhWnIaro08tf89z6aKkou3iKIAg8i+V Hy3IyQoWPWIMC5Vjlf/LAtxnEucrghFEvII2yjf1+nKQKF3tbYZd0dEsyqwE3Axnhzkvqy/9j7mZ xqKo5w/znOMjVrEahpgtw6X3u2mCXyrSAowkoUyzgwwanXPE8jUIdvBiVRUZibcrY+nwHhI9szm6 7K+cKZvOrZ/lPZsxZu1QtHoZRsOFpHT4nP2RbWHb5lJHXctqlozLJepkyMABbOPWN6gc6X5qiYsQ pIvW+w3QJgprxWfCjaxZzwaGlLxJUssGVA1pslZyJO2MTHlY3n2ozXYaYwcXcQy2MkzTuHEYVbeX 9CyC9ueoGTB32ia0e8wlj6kfdYto0q0ubU62DxHVxOU7gVc4i/EHYjj7owRHT+tXtzkp62I52nS2 WRhIYm7HLQCxnRh0daZob/usKRfswnEv/G3egQUNj5tu5NqwrrYfE5fBeSQbLUUQyhJ4JGS3tWWt 9+zdLYpHpYwpWDFpTnqNjCaaAYX/r9Pq5Lc0gIWZbqYLW25VN0IKXUMuG7yYuS7rqLruNGVpIMuC H6silPkKBYmozT1UpX3HmGYJBcSWyyHlL7Y9LwH5c6Wr3QzSYdT26lbeFtnPjAQtvCn9I5SFfH0l 7Nh0m+nbAZe83sB6zTl44TShkVpn0Vz55239Hx06glduMOvXU7SwzDBBlAr5OWl6njiyjKyR2fby BfCJXGDzIoBpSUuvTjTXgGFjQ1nAponZ3whaKrOwIJ4NZIHyRQsGchFDBIsqJAdwNOtgQSRqnrXc 628sOZork/+K2blOkkUGS+TzXFlhf3uPVRTHBBUnfLqWsNJG5gkZQeoPihbn6mAl5e+JnEK2R+Dc oPHbjfFAT3sWahe5i0xtba9MXiAQGAnBr/IJtGRLqAsb6zA/3aK95bFegbPh+JpLB0Nzz1KcQgga u9CnbILzjDc412+0JEtW+k4jqpdOGA0I/HKSEmTC8YPL2lU+uoCT11sv1uMBTeE67eMrq31bCVkS p3ieAjT1otBrz4mCvD/6KbOjU2WP7glyCMmOpST1RfZNCYhwvP54yf/WhpP9bTqOEUAuxXVfUduX Gz5evJyw7/Cxjl00AiaFak7Vq8G5A6xrMcUfl2sVJVl2R1qqw9Xlllb++OPhxbkvqcRFZ57W0DRA 7eX3l7o3pBSWRi1SZKfJXpOSLzadfH3LqAiCY2flzH6pENdQ9R++p+pd1Xx1/IBgdVeRYghO1UC/ A94DvokdTPqXPQzMt1r8WwjfZ6qmwAAcrYTT1m5RRSvrIZL8Z/QJGROHY4Yumc0bS5cxFEJ8H7e0 EP1EALRk/wW82Msgw60eiN3fwIVoVOrBh8Bqad/Nk0jxFn6fI9lizi+P6YIdsvofP0kZVQ4Waf6w UCV7dEKdj91RbKXc6rs/ODuUcLA5I3nuBCyp7jvQbTtYMoPfyrCD3/11WEBrBW8kLufB8gEKCsp5 Nx75+m0mOpJMIora3fsVAtVFw6xT/SrY9FIeTsbStJydK7ycnyW1YKJVwydAerQfYovxExT8jnV9 nu9mWwOs5oW1t30yhvc72tn/BrgXUHt+DnXwM1flie74iTc3jBSLF/391stUkAg+PNNe36+ehbkc 3ic8/haSW1OlpZwZXbVjLZ4/IvwYoGjGoXOrpJQSOnb5Wg4UWtTQp8ta26ix/QDJ92l6hWt+bd3n R5Bs6PChO+FyfOzjBpMiqMVEFtgZ0FM3sZOp4ceq0mDdUesjwKg5ggsfQuuNFyJ4Wd0mNbc7+n8q wpquH0phTy4h6ncSHMx5nq205uDV0AEAZkYFHlTBOF5UhBZSJZJlNBUFhMwh2fiaS81dzFidV50C QI0S6CLwgrkmjIOOMpdNx1+2KAR5d9TM+dD8L23tR5k9hZzTnUw4QADEm0dJwxsHAH7wIKLFVnOP DHkLtncp6qUjBCe/NFKuhynDoYZy7BsdOmMuMIpSsR/+iAGLqlpDC1ZlczTe/cJxxTKl0ZSNRlYt UvFctVgi2/gK5gkg+czoZcqj+iAstKGUR4mwwlCjxB82r0YNVltgmHJF4CJ86GZ6/ta+mgd68CWC FiYZ8/SwLTFmZj4XSiT65fLdsl5/LwCHB+fBciyxaz6hg0OdbCFJxiCzWXdAsIx9iuGy4X2uLJNH 2SkwSkud+kWzYWrlQ8B9zhX3m3Q6iyrCj/mtFKcPK0HMoRhZ//SIkdhH3/llbpmuEnf8RD0CJ92f N/+r7Y5SDd0EVrij32T8trzM9gL6UcjQSqLWEM8d4fjFCKwrwpa6JhCP2A5YWGLE/noF+b196QK7 PnGox9fhC5ncqhXVkGAMTiZzLMLlKevK67Z+FY09J5709U5d2a6ZDwtlaeOz9o9d9XT1NG36xyx7 YknWXV0taUP6vCHOwqMNq1IFbQZMmBS6pHqzewbl3LTGeJDGzOvNvyAYsP7XfdYqA/02DHIKtVBp w/HDjiYxtQ5tALjLdLXkAnWxME0EH1qnRJdNkXRa/JHdtnli8OElpRUKaN6oH57MEBNldmeMz/Eu Nqth0G2efSP59JI1nIyUun5ZlpKAis714K4QC60LmKUHKSo2lIvagyP3J0Pau7VzgJJMKyOdZCVK DkvUmToXVlNV/TV+lu6gpafEqaB62o9hLViqUaJBNvNeO1yiQy5g3xHcRGMsoLukZ1iqT/iOhHqH bjdpLB/grC19msPpUDM/7gQTe0DsrDb6sY0kjqiPqtuP9+3PVw+rYSECIn67cuvwu5OFHayDYplh Ic2uEhJnXT8oMHSy4Zw0wRq3JIf+XSCLMu1mEmCSIsN4cyYBUFXd2zv142ATdINnesZJtIG/TqA5 JcGfHDpFOeDvPogCaE9S6TG4+W5UwwVI67wq/0azd/6b/alWBP5vhGO5UHQS+8sGX10GY5gilyRP Yj+dbG4otI36XXRR5Yo2o66TFhY11ptc8bZ8rk2sqGzW++RCV/tHkXCYChEa7xKZYUlMEdkXldla cj+nLHJ/vJbrVkW5h0ltObsAKSWXX7yJkrMw0gLhSvFF0gcmIbshCAfqWXmIRKjAM7KvsSsiMnL9 yHJCKPPZjdqdXIvqE+qeoj0si6mCAv6FrtAm/V9jMJ03kzHSz/Ukx2ToGPvN9PfiKhahDIpf2UPd Xarxh0BZSy3BPevK2CInDyBpWQ/pG7aWNhy0pG2UECFTmQpKllZsM8QDVyMBv7NX8nDf+RlBdtlj +zTPLgpkI4Q5MPUG1W2+H68iuTSn0XwtF1cpIMQfl4T/Ixxl+uGVo5Upd+jnPBkU1rqq5TZUFFCz a/Fm7QXLu4g+SoU29VsdPbK/ZaT2CntI/zYcW4gMZsgQTWfB6oIteNHGFy0iLkfShnGX7yfAKiET H65YL8cTPT6U53bhdhI1solW62yXMxnwAHozig0DdRXW+lyOw2YMIJrFks97t3ihlbOGhwg+TNWr WzmgN5kXJRMY1Hf9hgLvNRdLqiq+ByBfD9fiAOTkV6jOfqWBgZ+jFD2jFfu+BD0zyE/yEYVe30a5 Lk4PZiXRnXZ05jAxvcdMOW87YEEPjRPg09+VYhPnLTHBpBRtDqaCmOc96MquJrG1bJBICHKvWhYO XepCXtut9QvRXyYK3fUgmV/ZvGGA6Vsg0laz8ugMNHA1RY/UDt6Sfk4ydOqtN91DRwe9xoIvJDLs yGdWWtqov27ZlL4XTg0/sDQ8WpEhESXGUk6Bo4/GQI7w+k/VrijzNxbW6XYX1YxQWHTQqtIfP067 TkpUTzPSA4ZSg+6d28UVQlhFiW7kP8GBsSY1Oxf/ObdpkQM1xSaZ2QjSloS4oK5+i35NF4gqyZe2 0GQbEAHgs4g5rvruLWBKScVMf6XicSexA5zX6SDCQxm5EPxN3mLPi69KuvS9YeulovbzyjXNZduG y3zmtssaPRlPP3V1G/uMfdoLA00liCm8eDahUAaxc07QCoWhQBAacQhvZeiJXSV8XpscE3NK2r+I FLhaNdmXomUJVNtXtQ3R/uApnY/UDdih6DKLn4L3XmjQRh95gcNQijq7YbDt9ZQz3MVW6LUqkQ7v 2aE/FGyZUTDfPC1oxcGebXw7Zm9Z6OmWx6KDt+gt6DxCy9V9ughdEWQrc84jdKfj6A5QIjNr8AqG OzGQBZ7vzlfZzHWTt+RlN49njxJSiwVHNilfOrVqynCk7uyBWeV/yGhTBC0/5JUqE4xQKl55cKmD 7WVzxQCFvTtpnt5RBRECzu+qPLFZ6OlN/vW0KmpqJXkFy26yu0BL8FyhilkNVPX6NtOmiFC3lytU 6R4+CSDQ7wn5n9DwAGWJ7Me0CIq3K+7Au23pcDGLgy42lASaIJxicyo3S8LWIJNY7oCPL9Ji/3eJ HlxAccPp1hGiv9U6nd418XuxW1/8KbN2x5BN0AicLIsXoNnDUqLOOBTAyFuCSPCzIwoDZhjrEptC aka72bEMNnbUVPNuNv0dPhYeZtAGUItQ9jeDZkK5XdUm0arAK96wZHu1eoqa83Kome1m30bJXa4J lAxLwN5Wjm8VnjzEAb+TK9FAfxe+v3dFMDyo8fNu8FQzbHxIG7Iz/XxhpNUDCfsHY/o6/OhW5mcM DWYv6YwGspO77vJQfdgWj/uroNy6Z2dn2izEngJ1RsfYELmStg5HDbbREjFOaxBmyKsgg2J0Iw3R qzSJ8Vy+r0T+mHcEqQQBJppUVOTFNTQM1tnGkl6ReUqBniXkZDcOVA8EGbpjA/bb3QnR3jddeZWb 1Fo2w6u8clhudw4mKcWQCK4dCw9PVDOC9WcGPjFwC1ruQKfrZyJPOVUajOXcGMiWcdjXnrZ47G68 6uXskOoxNaJe0E/xiTVRqMCi8njdCw0HdBHY2k7myfE9Bnkl3N4uR5XA2wh64I0ec3O9Hw71XIOL bRI4MCuf88VM8Vt5uLrd23Am4eznz+KTQGwGPGtlAdlqb0M00dFeNMrzAtcIzqZD317Z0P4U96iy u5nyzlE9iBvZ1mvt02zGGbV85DHdlyNbsjp/gs7fI7PvAfWowJ487MmCeIIZmtDc2R4myISY5W6/ E7lA/RIyku9Nu5w5rUBsZbq0Ih9Ty+RsIrZCzzQoab1YUg3SBH0+szpgwooThyXKysBzwPwXoi2v M6Cah0Ku5bTloOqsRdDWQHJXDij+HYJraWT2Oxf6yKkWyyTwveibsqNBdOh30GOCYO5EBjuw0qjq wI41YZR5oXq0p5S0oDy7ylHkBD/xB7ONYWVXBzHCrbA3Wg1Vjl2LYMVNpmntoal93fTlzbaLAOK4 HIqdAaTzx8wxCiB+N/Hb6xALltad1NzA9LfgRueCuD5aSwXtLgMtumikmiFUTq7EwxliumKd1HPP PbHjCnViay8Kajg2Hc0tGJ57dgZ13wi7VBKsMZ7DPJtuQrwrDQTmwUy6/3iwUQQ2v60s377MB6qf v51KGTPnzSU8KulK05whqK7lTJxRi0329D1sxeILqSWYWvajrumvdFPNPVp2dV/DzF8kIbW5T2pF GnicLkbo8EnHZB1etLrCrCsUKOWsGEqf1+o8ji3ilWFFXF87C+S3h6npgVos8zTbWKQKS0M0xw3n isRhC7KkxoRnwZb8X6NcZnWJeK7SwE8TuEG+3WH9139ccgNMf4sHtsNnfG8Cw5EXp1x/qRXQ9q/N /6D5hPxsMybvc+fUJxXBh03Ljt1nn01DLL9zjVNOo0MuWr8wSux85H9AlHaJPi081DmrD9T7yXSt Z2rMZa3mdoCOp41bmkpISVjUG6DN2xy6rCQYDwe5Mv0kjZdOKB3KS8uwBmBMVU1zPjo/+RtcXPmK rQKg8SIT9ycnf4Zdvsz5PUdCUVjYN1ZmeSlule1i41eNcYnIw/G1+tTFk1xNZ1L6yGfAsaz7UEiH tadWWR4OckSnTBPSEKZDpRR+jMtA/+e1/+2cbvtGXdouQjofG0z44RvC1BeNGD5GfFYI8VbC3yYp ZlA9PbMoH463yVEfZ/+1/rxvTBwFXUtpRnhsH60PT5oZaJushLiKAUiOmGkC7dIT1hSnZGjF/zSU /NR6FB6DIZW09Je3xxteuZHworPG5XF6xhQmXI1uF4z2+q56+HD0HbCucoS7hHYmaqGgSgf5lVme jKuHyfxbQm3GvrOwZMXdB9kRABLKn61WVM7A5UKC2NX5YjNQb7/j0NnLboiNLUrxJxkn6tVbKrCh 4KoGVvilZhxqvZLwLSVor0Cw+u5qKZDiDM2eaGNz0ZgVLWTIehOno24305ftPb/EuIhh8lkzXDq3 EVtq58X+57m4yNRc04WU8TKQGNTB247AJWCpOFuDjkWCrTPbjsGMtPB81OFFi6q05NCyT3h+iBFA ZeeDLtN3KlCeed/P1fGIAlRBw/R/tN2kw+oYbBFYvJFmo87CINmp/GteAB404aur2pXiyS4Ae7pO 6RD6xyxZrzsaLCcLF50AN8FEdT5nSSckIupOD/uj0tmjuh487NxP+WImnhNLVTubZdr5mmufFOC7 84R7rLbhxIBVR1BKVG+R9Agq3DhnRZtc/ZS0TNljhTFIWoFEuxHZeQ+S6y/5XejqLuL7eVJyNXRk K00Gzlx5JQ7CYTzB3e3YqR4/bnGRliF+JbWeMACeM/0TaAY0PhF5q2qRqyXPP1C2Xgw7un/k+KNu tQteYCn7GD/OeZ9NTSf+Fi9dr5WoxQJMh+duFYgc03W6wV++G3DajrrGvy0z97owfW7m4npxOIUd zSbJhvfkAVYwRkiiOYw19ASX4NyFnBOptblZcK6MTIomvcTV9SsnxOjYZatJjUUyo9mVybAutw/k aH1/IPOvuZjROna33m2kDjQws2GYFif4RdBOsqOdJRbOEWNMgV7Q5cRiWzul0tvUv4xCJG+ZTs9q 53q7IM1kiz0rKmpzzE7wEtclKMJLE1gvW1cWKE4AovAc6WZMopoLcnbzKtTCcvjNQ/e+zIKhnvOH L+yeJP6t9bqEXvlGI2odufA/6SF4OViklZP4JJh1DGgGdXzJxNHP0gSoPZ9Epumer57RkQ6qXiJ2 tjtmUVR3QNSNyKohzi4jpOijoOFapLkSliD18dFu/xdqKKpsh/AsDt87yHGb/Mo/PoCNJ2WAc7CN i029H0p2TYy8hQ4W9RrUVI9gtVAJ/3OJ2z1PcWSr3t2LNG1bbE4q8SopAMh0NOw6gyUhpD52SWSA PZk02To5YRv/tQp1JhUsH64+OWQAzQ4cwtKlxuMjr7VRXHgDgIwX5uwSqmFrXb5Wbvxlg/Fe5dHh oDaRgnszntBRJ6sf0oO0jygL0DfJe6azDCMaqMch+vgrzOUuNdxwfF0ER74kOti9xDylvq90r/qu 0eBLxXrdykeSvza3yJEMgLHcsFRjelGfeltzmQ/7lwCx7+TauSeAmLwyxmSI38yIqw2PbK9rFIxr oxYxY++EzfdTEhi7REZ/Q5orRztnkpiX1lGC+2iJe/x213WqNZsjSCMIxykmNGTg1t/jmb22GRGX hk2r+Y5LrYj/kL9kbRybeojLXj0QoYQdMmwnQV3JgQslQF4zblw/944lEL09YjTeRbaYrIPQs6eP xbKZunkGWTAX1VLFNuG5ZGSVhVFhhpTFzqf95lCQHyAqB92zDkhRwZQFaCuzgfmPigB1AqBt8Gi3 emk2H3Yuvo7S1GejJ8K0Uadx4NysmccAjKSJN3CiSCXy0qzpBzPwQddM11R/3QqMGjlDyDbs3H0T O0D+HfU6dDT32VB44e/zDYPzBi9GnYB/M5vRccvymrBH1qiyVMzD2sUMRDuyouCDKL78MxPvplB/ y0AAODPkRZRNMeVVxVd+zLG/8CanzPRec74KDTZLx9PC0C7JlUoFai4= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_base is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 49 downto 0 ); full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 49 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of axi_chip2chip_64B66B_xpm_fifo_base : entity is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of axi_chip2chip_64B66B_xpm_fifo_base : entity is "0"; attribute ECC_MODE : integer; attribute ECC_MODE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of axi_chip2chip_64B66B_xpm_fifo_base : entity is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b0"; attribute EN_AF : string; attribute EN_AF of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b0"; attribute EN_OF : string; attribute EN_OF of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute EN_PE : string; attribute EN_PE of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute EN_PF : string; attribute EN_PF of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute EN_UF : string; attribute EN_UF of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b0"; attribute FIFO_MEMORY_TYPE : integer; attribute FIFO_MEMORY_TYPE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 256; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 12800; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of axi_chip2chip_64B66B_xpm_fifo_base : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_base : entity is "xpm_fifo_base"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of axi_chip2chip_64B66B_xpm_fifo_base : entity is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of axi_chip2chip_64B66B_xpm_fifo_base : entity is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of axi_chip2chip_64B66B_xpm_fifo_base : entity is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of axi_chip2chip_64B66B_xpm_fifo_base : entity is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 128; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of axi_chip2chip_64B66B_xpm_fifo_base : entity is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of axi_chip2chip_64B66B_xpm_fifo_base : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 50; attribute READ_MODE : integer; attribute READ_MODE of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of axi_chip2chip_64B66B_xpm_fifo_base : entity is "0707"; attribute VERSION : integer; attribute VERSION of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 50; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of axi_chip2chip_64B66B_xpm_fifo_base : entity is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of axi_chip2chip_64B66B_xpm_fifo_base : entity is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of axi_chip2chip_64B66B_xpm_fifo_base : entity is 6; attribute XPM_MODULE : string; attribute XPM_MODULE of axi_chip2chip_64B66B_xpm_fifo_base : entity is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of axi_chip2chip_64B66B_xpm_fifo_base : entity is 3; attribute invalid : integer; attribute invalid of axi_chip2chip_64B66B_xpm_fifo_base : entity is 0; attribute keep_hierarchy : string; attribute keep_hierarchy of axi_chip2chip_64B66B_xpm_fifo_base : entity is "soft"; attribute stage1_valid : integer; attribute stage1_valid of axi_chip2chip_64B66B_xpm_fifo_base : entity is 2; attribute stage2_valid : integer; attribute stage2_valid of axi_chip2chip_64B66B_xpm_fifo_base : entity is 1; end axi_chip2chip_64B66B_xpm_fifo_base; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_base is signal \\ : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal diff_pntr_pe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 8 downto 2 ); signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 8 downto 2 ); signal \^empty\ : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \^full\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_10\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_11\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_12\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_13\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_14\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_15\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_16\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_8\ : STD_LOGIC; signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.ram_regout_en\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_4\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal \gwdc.diff_wr_rd_pntr1_out\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal overflow_i0 : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; signal rd_pntr_ext : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^rd_rst_busy\ : STD_LOGIC; signal rdp_inst_n_10 : STD_LOGIC; signal rdp_inst_n_19 : STD_LOGIC; signal rdp_inst_n_20 : STD_LOGIC; signal rdp_inst_n_21 : STD_LOGIC; signal rdp_inst_n_22 : STD_LOGIC; signal rdp_inst_n_23 : STD_LOGIC; signal rdp_inst_n_24 : STD_LOGIC; signal rdp_inst_n_25 : STD_LOGIC; signal rdp_inst_n_26 : STD_LOGIC; signal rdp_inst_n_27 : STD_LOGIC; signal rdp_inst_n_28 : STD_LOGIC; signal rdp_inst_n_29 : STD_LOGIC; signal rdp_inst_n_30 : STD_LOGIC; signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_8 : STD_LOGIC; signal rdp_inst_n_9 : STD_LOGIC; signal rdpp1_inst_n_0 : STD_LOGIC; signal rdpp1_inst_n_1 : STD_LOGIC; signal rdpp1_inst_n_2 : STD_LOGIC; signal rdpp1_inst_n_3 : STD_LOGIC; signal rdpp1_inst_n_4 : STD_LOGIC; signal rdpp1_inst_n_5 : STD_LOGIC; signal rdpp1_inst_n_6 : STD_LOGIC; signal rdpp1_inst_n_7 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d1_inst_n_1 : STD_LOGIC; signal src_in_bin00_out : STD_LOGIC_VECTOR ( 1 to 1 ); signal underflow_i0 : STD_LOGIC; signal wr_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_plus1_pf : STD_LOGIC_VECTOR ( 8 downto 1 ); signal wr_pntr_plus1_pf_carry : STD_LOGIC; signal wr_pntr_rd_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_pntr_rd_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wrpp2_inst_n_0 : STD_LOGIC; signal wrpp2_inst_n_1 : STD_LOGIC; signal wrpp2_inst_n_2 : STD_LOGIC; signal wrpp2_inst_n_3 : STD_LOGIC; signal wrpp2_inst_n_4 : STD_LOGIC; signal wrpp2_inst_n_5 : STD_LOGIC; signal wrpp2_inst_n_6 : STD_LOGIC; signal wrpp2_inst_n_7 : STD_LOGIC; signal wrst_busy : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 49 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\ : label is "soft_lutpair92"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH : integer; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC : string; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 5; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair91"; attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute CASCADE_HEIGHT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute KEEP_HIERARCHY of \gen_sdpram.xpm_memory_base_inst\ : label is "soft"; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE\ : boolean; attribute \MEM.ADDRESS_SPACE\ of \gen_sdpram.xpm_memory_base_inst\ : label is std.standard.true; attribute \MEM.ADDRESS_SPACE_BEGIN\ : integer; attribute \MEM.ADDRESS_SPACE_BEGIN\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 49; attribute \MEM.ADDRESS_SPACE_END\ : integer; attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \gen_sdpram.xpm_memory_base_inst\ : label is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \gen_sdpram.xpm_memory_base_inst\ : label is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \gen_sdpram.xpm_memory_base_inst\ : label is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 12800; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \gen_sdpram.xpm_memory_base_inst\ : label is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute SIM_ASSERT_CHK of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute VERSION of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WAKEUP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute XPM_MODULE of \gen_sdpram.xpm_memory_base_inst\ : label is "TRUE"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute SOFT_HLUTNM of \gen_sdpram.xpm_memory_base_inst_i_3\ : label is "soft_lutpair91"; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; empty <= \^empty\; full <= \^full\; full_n <= \\; prog_empty <= \^prog_empty\; prog_full <= \^prog_full\; rd_rst_busy <= \^rd_rst_busy\; sbiterr <= \\; wr_ack <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6A85" ) port map ( I0 => curr_fwft_state(0), I1 => rd_en, I2 => curr_fwft_state(1), I3 => ram_empty_i, O => \next_fwft_state__0\(0) ); \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(0), Q => curr_fwft_state(0), R => \^rd_rst_busy\ ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(1), Q => curr_fwft_state(1), R => \^rd_rst_busy\ ); GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__8\ port map ( dest_clk => wr_clk, dest_out_bin(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), src_clk => rd_clk, src_in_bin(8) => rdp_inst_n_24, src_in_bin(7) => rdp_inst_n_25, src_in_bin(6) => rdp_inst_n_26, src_in_bin(5) => rdp_inst_n_27, src_in_bin(4) => rdp_inst_n_28, src_in_bin(3) => rdp_inst_n_29, src_in_bin(2) => rdp_inst_n_30, src_in_bin(1) => src_in_bin00_out(1), src_in_bin(0) => rdp_inst_n_31 ); \gen_cdc_pntr.rd_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__9\ port map ( dest_clk => wr_clk, dest_out_bin(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), src_clk => rd_clk, src_in_bin(7 downto 0) => rd_pntr_ext(7 downto 0) ); \gen_cdc_pntr.rpw_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec_34 port map ( D(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), d_out_reg => \gen_cdc_pntr.rpw_gray_reg_n_8\, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7) => wrpp2_inst_n_0, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6) => wrpp2_inst_n_1, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5) => wrpp2_inst_n_2, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4) => wrpp2_inst_n_3, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3) => wrpp2_inst_n_4, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2) => wrpp2_inst_n_5, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1) => wrpp2_inst_n_6, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0) => wrpp2_inst_n_7, \reg_out_i_reg[7]_0\(7 downto 0) => rd_pntr_wr(7 downto 0), rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); \gen_cdc_pntr.rpw_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_35\ port map ( D(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), Q(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, Q(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, Q(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, Q(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, Q(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, Q(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, Q(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, Q(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, Q(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, wr_clk => wr_clk, wrst_busy => wrst_busy ); \gen_cdc_pntr.wpr_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec_36 port map ( D(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), Q(1 downto 0) => curr_fwft_state(1 downto 0), \gen_pf_ic_rc.ram_empty_i_reg\(7 downto 0) => rd_pntr_ext(7 downto 0), \gen_pf_ic_rc.ram_empty_i_reg_0\(7) => rdpp1_inst_n_0, \gen_pf_ic_rc.ram_empty_i_reg_0\(6) => rdpp1_inst_n_1, \gen_pf_ic_rc.ram_empty_i_reg_0\(5) => rdpp1_inst_n_2, \gen_pf_ic_rc.ram_empty_i_reg_0\(4) => rdpp1_inst_n_3, \gen_pf_ic_rc.ram_empty_i_reg_0\(3) => rdpp1_inst_n_4, \gen_pf_ic_rc.ram_empty_i_reg_0\(2) => rdpp1_inst_n_5, \gen_pf_ic_rc.ram_empty_i_reg_0\(1) => rdpp1_inst_n_6, \gen_pf_ic_rc.ram_empty_i_reg_0\(0) => rdpp1_inst_n_7, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, rd_clk => rd_clk, rd_en => rd_en, \reg_out_i_reg[0]_0\ => \^rd_rst_busy\, \reg_out_i_reg[7]_0\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \reg_out_i_reg[7]_0\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \reg_out_i_reg[7]_0\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \reg_out_i_reg[7]_0\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \reg_out_i_reg[7]_0\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \reg_out_i_reg[7]_0\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \reg_out_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \reg_out_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\ ); \gen_cdc_pntr.wpr_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_37\ port map ( D(7 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(8 downto 1), DI(1) => rdp_inst_n_9, DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, S(6) => rdp_inst_n_19, S(5) => rdp_inst_n_20, S(4) => rdp_inst_n_21, S(3) => rdp_inst_n_22, S(2) => rdp_inst_n_23, S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, \grdc.rd_data_count_i_reg[7]\(0) => count_value_i(1), \grdc.rd_data_count_i_reg[7]_0\(5 downto 0) => rd_pntr_ext(6 downto 1), \grdc.rd_data_count_i_reg[8]\(0) => rdp_inst_n_10, rd_clk => rd_clk, \reg_out_i_reg[8]_0\ => \^rd_rst_busy\, \reg_out_i_reg[8]_1\(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__4\ port map ( dest_clk => rd_clk, dest_out_bin(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0), src_clk => wr_clk, src_in_bin(8 downto 0) => wr_pntr_ext(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__8\ port map ( dest_clk => rd_clk, dest_out_bin(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), src_clk => wr_clk, src_in_bin(7 downto 0) => wr_pntr_ext(7 downto 0) ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"F380" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), I3 => \^empty\, O => empty_fwft_i0 ); \gen_fwft.empty_fwft_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, Q => \^empty\, S => \^rd_rst_busy\ ); \gen_fwft.rdpp1_inst\: entity work.axi_chip2chip_64B66B_xpm_counter_updn_38 port map ( DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(1 downto 0) => count_value_i(1 downto 0), S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, SR(0) => \gen_fwft.count_rst\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \grdc.rd_data_count_i_reg[7]\(1 downto 0) => rd_pntr_ext(1 downto 0), \grdc.rd_data_count_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \grdc.rd_data_count_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(0) => src_in_bin00_out(1) ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \gen_cdc_pntr.rpw_gray_reg_n_8\, Q => \^full\, S => wrst_busy ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(0), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(1), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(2), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(3), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(4), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(5), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(6), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(7), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"88B8" ) port map ( I0 => \^prog_empty\, I1 => \^empty\, I2 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\, I3 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"01FF" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\, Q => \^prog_empty\, S => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(2), Q => diff_pntr_pf_q(2), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(3), Q => diff_pntr_pf_q(3), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(4), Q => diff_pntr_pf_q(4), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(5), Q => diff_pntr_pf_q(5), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(6), Q => diff_pntr_pf_q(6), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(7), Q => diff_pntr_pf_q(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(8), Q => diff_pntr_pf_q(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => diff_pntr_pf_q(3), I1 => diff_pntr_pf_q(2), I2 => diff_pntr_pf_q(6), I3 => diff_pntr_pf_q(7), I4 => diff_pntr_pf_q(4), I5 => diff_pntr_pf_q(5), O => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1_inst_n_1, Q => \^prog_full\, S => wrst_busy ); \gen_pf_ic_rc.ram_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i0, Q => ram_empty_i, S => \^rd_rst_busy\ ); \gen_sdpram.xpm_memory_base_inst\: entity work.axi_chip2chip_64B66B_xpm_memory_base port map ( addra(7 downto 0) => wr_pntr_ext(7 downto 0), addrb(7 downto 0) => rd_pntr_ext(7 downto 0), clka => wr_clk, clkb => rd_clk, dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, dbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\, dina(49 downto 0) => din(49 downto 0), dinb(49 downto 0) => B"00000000000000000000000000000000000000000000000000", douta(49 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(49 downto 0), doutb(49 downto 0) => dout(49 downto 0), ena => wr_pntr_plus1_pf_carry, enb => rdp_inst_n_8, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', injectsbiterrb => '0', regcea => '0', regceb => \gen_fwft.ram_regout_en\, rsta => '0', rstb => \^rd_rst_busy\, sbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\, sbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\, sleep => sleep, wea(0) => '0', web(0) => '0' ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"62" ) port map ( I0 => curr_fwft_state(0), I1 => curr_fwft_state(1), I2 => rd_en, O => \gen_fwft.ram_regout_en\ ); \gof.overflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => overflow_i0, Q => overflow, R => '0' ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(1), Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(2), Q => rd_data_count(1), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(3), Q => rd_data_count(2), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(4), Q => rd_data_count(3), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(5), Q => rd_data_count(4), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(6), Q => rd_data_count(5), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(7), Q => rd_data_count(6), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(8), Q => rd_data_count(7), R => \grdc.rd_data_count_i0\ ); \guf.underflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => underflow_i0, Q => underflow, R => '0' ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(1), Q => wr_data_count(0), R => wrst_busy ); \gwdc.wr_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(2), Q => wr_data_count(1), R => wrst_busy ); \gwdc.wr_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(3), Q => wr_data_count(2), R => wrst_busy ); \gwdc.wr_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(4), Q => wr_data_count(3), R => wrst_busy ); \gwdc.wr_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(5), Q => wr_data_count(4), R => wrst_busy ); \gwdc.wr_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(6), Q => wr_data_count(5), R => wrst_busy ); \gwdc.wr_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(7), Q => wr_data_count(6), R => wrst_busy ); \gwdc.wr_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(8), Q => wr_data_count(7), R => wrst_busy ); rdp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_39\ port map ( D(7 downto 0) => diff_pntr_pe(7 downto 0), DI(0) => rdp_inst_n_9, Q(7 downto 0) => rd_pntr_ext(7 downto 0), S(4) => rdp_inst_n_19, S(3) => rdp_inst_n_20, S(2) => rdp_inst_n_21, S(1) => rdp_inst_n_22, S(0) => rdp_inst_n_23, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[7]_0\(0) => rdp_inst_n_10, \count_value_i_reg[8]_0\ => \^rd_rst_busy\, enb => rdp_inst_n_8, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, \grdc.rd_data_count_i_reg[7]\(1 downto 0) => count_value_i(1 downto 0), \grdc.rd_data_count_i_reg[8]\(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, \grdc.rd_data_count_i_reg[8]\(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, \grdc.rd_data_count_i_reg[8]\(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, \grdc.rd_data_count_i_reg[8]\(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, \grdc.rd_data_count_i_reg[8]\(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, \grdc.rd_data_count_i_reg[8]\(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, \grdc.rd_data_count_i_reg[8]\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, \grdc.rd_data_count_i_reg[8]\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(7) => rdp_inst_n_24, src_in_bin(6) => rdp_inst_n_25, src_in_bin(5) => rdp_inst_n_26, src_in_bin(4) => rdp_inst_n_27, src_in_bin(3) => rdp_inst_n_28, src_in_bin(2) => rdp_inst_n_29, src_in_bin(1) => rdp_inst_n_30, src_in_bin(0) => rdp_inst_n_31 ); rdpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_40\ port map ( E(0) => rdp_inst_n_8, Q(7) => rdpp1_inst_n_0, Q(6) => rdpp1_inst_n_1, Q(5) => rdpp1_inst_n_2, Q(4) => rdpp1_inst_n_3, Q(3) => rdpp1_inst_n_4, Q(2) => rdpp1_inst_n_5, Q(1) => rdpp1_inst_n_6, Q(0) => rdpp1_inst_n_7, \count_value_i_reg[0]_0\ => \^rd_rst_busy\, \count_value_i_reg[1]_0\(1 downto 0) => curr_fwft_state(1 downto 0), ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en ); rst_d1_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_bit_41 port map ( Q(0) => diff_pntr_pf_q(8), \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ => rst_d1_inst_n_1, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ => \^full\, overflow_i0 => overflow_i0, prog_full => \^prog_full\, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wrst_busy => wrst_busy ); wrp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_42\ port map ( D(7 downto 0) => \gwdc.diff_wr_rd_pntr1_out\(8 downto 1), Q(8 downto 0) => wr_pntr_ext(8 downto 0), \count_value_i_reg[6]_0\ => \^full\, \gwdc.wr_data_count_i_reg[8]\(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, \gwdc.wr_data_count_i_reg[8]\(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, \gwdc.wr_data_count_i_reg[8]\(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, \gwdc.wr_data_count_i_reg[8]\(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, \gwdc.wr_data_count_i_reg[8]\(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, \gwdc.wr_data_count_i_reg[8]\(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, \gwdc.wr_data_count_i_reg[8]\(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, \gwdc.wr_data_count_i_reg[8]\(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, \gwdc.wr_data_count_i_reg[8]\(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_43\ port map ( D(6 downto 0) => diff_pntr_pf_q0(8 downto 2), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), \count_value_i_reg[6]_0\ => \^full\, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7 downto 0) => rd_pntr_wr(7 downto 0), rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp2_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_44\ port map ( Q(7) => wrpp2_inst_n_0, Q(6) => wrpp2_inst_n_1, Q(5) => wrpp2_inst_n_2, Q(4) => wrpp2_inst_n_3, Q(3) => wrpp2_inst_n_4, Q(2) => wrpp2_inst_n_5, Q(1) => wrpp2_inst_n_6, Q(0) => wrpp2_inst_n_7, \count_value_i_reg[6]_0\ => \^full\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); xpm_fifo_rst_inst: entity work.\axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__2\ port map ( Q(1 downto 0) => curr_fwft_state(1 downto 0), SR(0) => \grdc.rd_data_count_i0\, \count_value_i_reg[7]\ => \^full\, \gen_rst_ic.fifo_rd_rst_ic_reg_0\ => \^rd_rst_busy\, \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) => \gen_fwft.count_rst\, \guf.underflow_i_reg\ => \^empty\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_d1 => rst_d1, underflow_i0 => underflow_i0, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wr_rst_busy => wr_rst_busy, wrst_busy => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 40 downto 0 ); full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 40 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "0"; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b0"; attribute EN_AF : string; attribute EN_AF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b0"; attribute EN_OF : string; attribute EN_OF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute EN_PE : string; attribute EN_PE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute EN_PF : string; attribute EN_PF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute EN_UF : string; attribute EN_UF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b0"; attribute FIFO_MEMORY_TYPE : integer; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 512; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 20992; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 512; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "xpm_fifo_base"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 507; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 382; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 507; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 8; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 384; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 9; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 10; attribute RD_LATENCY : integer; attribute RD_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 9; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 41; attribute READ_MODE : integer; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "0707"; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 41; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 9; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 10; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 9; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 9; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 6; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 3; attribute invalid : integer; attribute invalid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 0; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is "soft"; attribute stage1_valid : integer; attribute stage1_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 2; attribute stage2_valid : integer; attribute stage2_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ : entity is 1; end \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ is signal \\ : STD_LOGIC; signal clr_full : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal diff_pntr_pe : STD_LOGIC_VECTOR ( 8 downto 0 ); signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 9 downto 2 ); signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 9 downto 2 ); signal \^empty\ : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \^full\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_10\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_11\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_12\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_13\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_14\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_15\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_16\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_8\ : STD_LOGIC; signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.ram_regout_en\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal \gwdc.diff_wr_rd_pntr1_out\ : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal overflow_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr_cdc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr_cdc_dc : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \^rd_rst_busy\ : STD_LOGIC; signal rdp_inst_n_0 : STD_LOGIC; signal rdp_inst_n_11 : STD_LOGIC; signal rdp_inst_n_21 : STD_LOGIC; signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_32 : STD_LOGIC; signal rdp_inst_n_33 : STD_LOGIC; signal rdp_inst_n_34 : STD_LOGIC; signal rdp_inst_n_35 : STD_LOGIC; signal rdp_inst_n_36 : STD_LOGIC; signal rdp_inst_n_37 : STD_LOGIC; signal rdp_inst_n_38 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d1_inst_n_1 : STD_LOGIC; signal src_in_bin00_out : STD_LOGIC_VECTOR ( 9 downto 0 ); signal underflow_i0 : STD_LOGIC; signal wr_pntr_ext : STD_LOGIC_VECTOR ( 9 downto 0 ); signal wr_pntr_plus1_pf : STD_LOGIC_VECTOR ( 9 downto 1 ); signal wr_pntr_plus1_pf_carry : STD_LOGIC; signal wr_pntr_rd_cdc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_rd_cdc_dc : STD_LOGIC_VECTOR ( 9 downto 0 ); signal wrpp2_inst_n_0 : STD_LOGIC; signal wrpp2_inst_n_1 : STD_LOGIC; signal wrpp2_inst_n_2 : STD_LOGIC; signal wrpp2_inst_n_3 : STD_LOGIC; signal wrpp2_inst_n_4 : STD_LOGIC; signal wrpp2_inst_n_5 : STD_LOGIC; signal wrpp2_inst_n_6 : STD_LOGIC; signal wrpp2_inst_n_7 : STD_LOGIC; signal wrpp2_inst_n_8 : STD_LOGIC; signal wrst_busy : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 40 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair203"; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\ : label is "soft_lutpair203"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH : integer; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 10; attribute XPM_CDC : string; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 5; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 10; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair202"; attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute CASCADE_HEIGHT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute KEEP_HIERARCHY of \gen_sdpram.xpm_memory_base_inst\ : label is "soft"; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE\ : boolean; attribute \MEM.ADDRESS_SPACE\ of \gen_sdpram.xpm_memory_base_inst\ : label is std.standard.true; attribute \MEM.ADDRESS_SPACE_BEGIN\ : integer; attribute \MEM.ADDRESS_SPACE_BEGIN\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 40; attribute \MEM.ADDRESS_SPACE_END\ : integer; attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \gen_sdpram.xpm_memory_base_inst\ : label is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \gen_sdpram.xpm_memory_base_inst\ : label is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \gen_sdpram.xpm_memory_base_inst\ : label is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 20992; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 512; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \gen_sdpram.xpm_memory_base_inst\ : label is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute SIM_ASSERT_CHK of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute VERSION of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WAKEUP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute XPM_MODULE of \gen_sdpram.xpm_memory_base_inst\ : label is "TRUE"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 44; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 44; attribute SOFT_HLUTNM of \gen_sdpram.xpm_memory_base_inst_i_3\ : label is "soft_lutpair202"; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; empty <= \^empty\; full <= \^full\; full_n <= \\; prog_empty <= \^prog_empty\; prog_full <= \^prog_full\; rd_rst_busy <= \^rd_rst_busy\; sbiterr <= \\; wr_ack <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"69A1" ) port map ( I0 => ram_empty_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => rd_en, O => \next_fwft_state__0\(0) ); \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(0), Q => curr_fwft_state(0), R => \^rd_rst_busy\ ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(1), Q => curr_fwft_state(1), R => \^rd_rst_busy\ ); GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3\ port map ( dest_clk => wr_clk, dest_out_bin(9 downto 0) => rd_pntr_wr_cdc_dc(9 downto 0), src_clk => rd_clk, src_in_bin(9 downto 0) => src_in_bin00_out(9 downto 0) ); \gen_cdc_pntr.rd_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__12\ port map ( dest_clk => wr_clk, dest_out_bin(8 downto 0) => rd_pntr_wr_cdc(8 downto 0), src_clk => rd_clk, src_in_bin(8 downto 0) => rd_pntr_ext(8 downto 0) ); \gen_cdc_pntr.rpw_gray_reg\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_4\ port map ( D(8 downto 0) => rd_pntr_wr_cdc(8 downto 0), Q(8 downto 0) => rd_pntr_wr(8 downto 0), clr_full => clr_full, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(8) => wrpp2_inst_n_0, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(7) => wrpp2_inst_n_1, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(6) => wrpp2_inst_n_2, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(5) => wrpp2_inst_n_3, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(4) => wrpp2_inst_n_4, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(3) => wrpp2_inst_n_5, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(2) => wrpp2_inst_n_6, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(1) => wrpp2_inst_n_7, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(0) => wrpp2_inst_n_8, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(8 downto 0) => wr_pntr_plus1_pf(9 downto 1), \reg_out_i_reg[0]_0\ => \gen_cdc_pntr.rpw_gray_reg_n_9\, wr_clk => wr_clk, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); \gen_cdc_pntr.rpw_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_5\ port map ( D(9 downto 0) => rd_pntr_wr_cdc_dc(9 downto 0), Q(9) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, Q(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, Q(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, Q(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, Q(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, Q(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, Q(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, Q(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, Q(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, Q(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_9\, wr_clk => wr_clk, wrst_busy => wrst_busy ); \gen_cdc_pntr.wpr_gray_reg\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_6\ port map ( D(8 downto 0) => diff_pntr_pe(8 downto 0), DI(0) => p_1_in, Q(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, S(7) => rdp_inst_n_31, S(6) => rdp_inst_n_32, S(5) => rdp_inst_n_33, S(4) => rdp_inst_n_34, S(3) => rdp_inst_n_35, S(2) => rdp_inst_n_36, S(1) => rdp_inst_n_37, S(0) => rdp_inst_n_38, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\(0) => rd_pntr_ext(8), rd_clk => rd_clk, \reg_out_i_reg[0]_0\ => \^rd_rst_busy\, \reg_out_i_reg[8]_0\(8 downto 0) => wr_pntr_rd_cdc(8 downto 0) ); \gen_cdc_pntr.wpr_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_7\ port map ( D(9 downto 0) => wr_pntr_rd_cdc_dc(9 downto 0), DI(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_0\, DI(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_1\, DI(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_2\, DI(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_3\, DI(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_4\, DI(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_5\, Q(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_6\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_7\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, S(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, \grdc.rd_data_count_i_reg[7]\(0) => count_value_i(1), \grdc.rd_data_count_i_reg[9]\(8) => rdp_inst_n_0, \grdc.rd_data_count_i_reg[9]\(7 downto 0) => rd_pntr_ext(8 downto 1), rd_clk => rd_clk, \reg_out_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \reg_out_i_reg[9]_0\ => \^rd_rst_busy\ ); \gen_cdc_pntr.wr_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2\ port map ( dest_clk => rd_clk, dest_out_bin(9 downto 0) => wr_pntr_rd_cdc_dc(9 downto 0), src_clk => wr_clk, src_in_bin(9 downto 0) => wr_pntr_ext(9 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__11\ port map ( dest_clk => rd_clk, dest_out_bin(8 downto 0) => wr_pntr_rd_cdc(8 downto 0), src_clk => wr_clk, src_in_bin(8 downto 0) => wr_pntr_ext(8 downto 0) ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E0CC" ) port map ( I0 => rd_en, I1 => \^empty\, I2 => curr_fwft_state(1), I3 => curr_fwft_state(0), O => empty_fwft_i0 ); \gen_fwft.empty_fwft_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, Q => \^empty\, S => \^rd_rst_busy\ ); \gen_fwft.rdpp1_inst\: entity work.axi_chip2chip_64B66B_xpm_counter_updn_8 port map ( DI(1) => \gen_fwft.rdpp1_inst_n_3\, DI(0) => \gen_fwft.rdpp1_inst_n_4\, Q(1 downto 0) => count_value_i(1 downto 0), SR(0) => \gen_fwft.count_rst\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, \src_gray_ff_reg[0]\(0) => rd_pntr_ext(0), src_in_bin(0) => src_in_bin00_out(0) ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \gen_cdc_pntr.rpw_gray_reg_n_9\, Q => \^full\, S => wrst_busy ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"AABA" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => curr_fwft_state(1), I3 => curr_fwft_state(0), O => p_1_in ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(0), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(1), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(2), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(3), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(4), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(5), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(6), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(7), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(8), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"88B8" ) port map ( I0 => \^prog_empty\, I1 => \^empty\, I2 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\, I3 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"01FF" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, I4 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\, Q => \^prog_empty\, S => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(2), Q => diff_pntr_pf_q(2), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(3), Q => diff_pntr_pf_q(3), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(4), Q => diff_pntr_pf_q(4), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(5), Q => diff_pntr_pf_q(5), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(6), Q => diff_pntr_pf_q(6), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(7), Q => diff_pntr_pf_q(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(8), Q => diff_pntr_pf_q(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(9), Q => diff_pntr_pf_q(9), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => diff_pntr_pf_q(3), I1 => diff_pntr_pf_q(2), I2 => diff_pntr_pf_q(6), I3 => diff_pntr_pf_q(7), I4 => diff_pntr_pf_q(4), I5 => diff_pntr_pf_q(5), O => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1_inst_n_1, Q => \^prog_full\, S => wrst_busy ); \gen_pf_ic_rc.ram_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i0, Q => ram_empty_i, S => \^rd_rst_busy\ ); \gen_sdpram.xpm_memory_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_memory_base__parameterized0\ port map ( addra(8 downto 0) => wr_pntr_ext(8 downto 0), addrb(8 downto 0) => rd_pntr_ext(8 downto 0), clka => wr_clk, clkb => rd_clk, dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, dbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\, dina(40 downto 0) => din(40 downto 0), dinb(40 downto 0) => B"00000000000000000000000000000000000000000", douta(40 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(40 downto 0), doutb(40 downto 0) => dout(40 downto 0), ena => wr_pntr_plus1_pf_carry, enb => ram_rd_en_i, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', injectsbiterrb => '0', regcea => '0', regceb => \gen_fwft.ram_regout_en\, rsta => '0', rstb => \^rd_rst_busy\, sbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\, sbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\, sleep => sleep, wea(0) => '0', web(0) => '0' ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"2C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \gen_fwft.ram_regout_en\ ); \gof.overflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => overflow_i0, Q => overflow, R => '0' ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(1), Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(2), Q => rd_data_count(1), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(3), Q => rd_data_count(2), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(4), Q => rd_data_count(3), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(5), Q => rd_data_count(4), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(6), Q => rd_data_count(5), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(7), Q => rd_data_count(6), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(8), Q => rd_data_count(7), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(9), Q => rd_data_count(8), R => \grdc.rd_data_count_i0\ ); \guf.underflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => underflow_i0, Q => underflow, R => '0' ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(1), Q => wr_data_count(0), R => wrst_busy ); \gwdc.wr_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(2), Q => wr_data_count(1), R => wrst_busy ); \gwdc.wr_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(3), Q => wr_data_count(2), R => wrst_busy ); \gwdc.wr_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(4), Q => wr_data_count(3), R => wrst_busy ); \gwdc.wr_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(5), Q => wr_data_count(4), R => wrst_busy ); \gwdc.wr_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(6), Q => wr_data_count(5), R => wrst_busy ); \gwdc.wr_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(7), Q => wr_data_count(6), R => wrst_busy ); \gwdc.wr_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(8), Q => wr_data_count(7), R => wrst_busy ); \gwdc.wr_data_count_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(9), Q => wr_data_count(8), R => wrst_busy ); rdp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_9\ port map ( D(8 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(9 downto 1), DI(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_0\, DI(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_1\, DI(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_2\, DI(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_3\, DI(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_4\, DI(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_5\, DI(1) => \gen_fwft.rdpp1_inst_n_3\, DI(0) => \gen_fwft.rdpp1_inst_n_4\, Q(9) => rdp_inst_n_0, Q(8 downto 0) => rd_pntr_ext(8 downto 0), S(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[1]_0\ => rdp_inst_n_21, \count_value_i_reg[7]_0\(7) => rdp_inst_n_31, \count_value_i_reg[7]_0\(6) => rdp_inst_n_32, \count_value_i_reg[7]_0\(5) => rdp_inst_n_33, \count_value_i_reg[7]_0\(4) => rdp_inst_n_34, \count_value_i_reg[7]_0\(3) => rdp_inst_n_35, \count_value_i_reg[7]_0\(2) => rdp_inst_n_36, \count_value_i_reg[7]_0\(1) => rdp_inst_n_37, \count_value_i_reg[7]_0\(0) => rdp_inst_n_38, \count_value_i_reg[9]_0\ => \^rd_rst_busy\, \gen_pf_ic_rc.ram_empty_i_reg\(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, \gen_pf_ic_rc.ram_empty_i_reg\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.ram_empty_i_reg\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.ram_empty_i_reg\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.ram_empty_i_reg\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.ram_empty_i_reg\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.ram_empty_i_reg\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.ram_empty_i_reg\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.ram_empty_i_reg\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, \grdc.rd_data_count_i_reg[7]\(1 downto 0) => count_value_i(1 downto 0), \grdc.rd_data_count_i_reg[9]\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \grdc.rd_data_count_i_reg[9]_0\(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_6\, \grdc.rd_data_count_i_reg[9]_0\(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_7\, \grdc.rd_data_count_i_reg[9]_0\(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, \grdc.rd_data_count_i_reg[9]_0\(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, \grdc.rd_data_count_i_reg[9]_0\(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, \grdc.rd_data_count_i_reg[9]_0\(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, \grdc.rd_data_count_i_reg[9]_0\(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, \grdc.rd_data_count_i_reg[9]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, \grdc.rd_data_count_i_reg[9]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, ram_empty_i => ram_empty_i, ram_rd_en_i => ram_rd_en_i, rd_clk => rd_clk, rd_en => rd_en, \reg_out_i_reg[7]\ => rdp_inst_n_11, src_in_bin(8 downto 0) => src_in_bin00_out(9 downto 1) ); rdpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_10\ port map ( E(0) => ram_rd_en_i, Q(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[0]_0\ => \^rd_rst_busy\, \gen_pf_ic_rc.ram_empty_i_reg\ => rdp_inst_n_21, \gen_pf_ic_rc.ram_empty_i_reg_0\ => rdp_inst_n_11, \gen_pf_ic_rc.ram_empty_i_reg_1\(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, \gen_pf_ic_rc.ram_empty_i_reg_1\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.ram_empty_i_reg_1\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.ram_empty_i_reg_1\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.ram_empty_i_reg_1\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.ram_empty_i_reg_1\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.ram_empty_i_reg_1\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.ram_empty_i_reg_1\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.ram_empty_i_reg_1\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, rd_clk => rd_clk, rd_en => rd_en ); rst_d1_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_bit_11 port map ( Q(1 downto 0) => diff_pntr_pf_q(9 downto 8), clr_full => clr_full, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ => rst_d1_inst_n_1, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ => \^full\, overflow_i0 => overflow_i0, prog_full => \^prog_full\, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wrst_busy => wrst_busy ); wrp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_12\ port map ( D(8 downto 0) => \gwdc.diff_wr_rd_pntr1_out\(9 downto 1), Q(9 downto 0) => wr_pntr_ext(9 downto 0), \count_value_i_reg[5]_0\ => \^full\, \gwdc.wr_data_count_i_reg[9]\(9) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, \gwdc.wr_data_count_i_reg[9]\(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, \gwdc.wr_data_count_i_reg[9]\(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, \gwdc.wr_data_count_i_reg[9]\(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, \gwdc.wr_data_count_i_reg[9]\(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, \gwdc.wr_data_count_i_reg[9]\(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, \gwdc.wr_data_count_i_reg[9]\(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, \gwdc.wr_data_count_i_reg[9]\(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, \gwdc.wr_data_count_i_reg[9]\(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, \gwdc.wr_data_count_i_reg[9]\(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_9\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_13\ port map ( D(7 downto 0) => diff_pntr_pf_q0(9 downto 2), Q(8 downto 0) => wr_pntr_plus1_pf(9 downto 1), \count_value_i_reg[5]_0\ => \^full\, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(8 downto 0) => rd_pntr_wr(8 downto 0), rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp2_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized5_14\ port map ( Q(8) => wrpp2_inst_n_0, Q(7) => wrpp2_inst_n_1, Q(6) => wrpp2_inst_n_2, Q(5) => wrpp2_inst_n_3, Q(4) => wrpp2_inst_n_4, Q(3) => wrpp2_inst_n_5, Q(2) => wrpp2_inst_n_6, Q(1) => wrpp2_inst_n_7, Q(0) => wrpp2_inst_n_8, \count_value_i_reg[5]_0\ => \^full\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); xpm_fifo_rst_inst: entity work.\axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__4\ port map ( Q(1 downto 0) => curr_fwft_state(1 downto 0), SR(0) => \grdc.rd_data_count_i0\, \count_value_i_reg[8]\ => \^full\, \gen_pf_ic_rc.ram_empty_i_reg\(0) => \gen_fwft.count_rst\, \gen_rst_ic.fifo_rd_rst_ic_reg_0\ => \^rd_rst_busy\, \guf.underflow_i_reg\ => \^empty\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_d1 => rst_d1, underflow_i0 => underflow_i0, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wr_rst_busy => wr_rst_busy, wrst_busy => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 40 downto 0 ); full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 40 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "0"; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b0"; attribute EN_AF : string; attribute EN_AF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b0"; attribute EN_OF : string; attribute EN_OF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute EN_PE : string; attribute EN_PE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute EN_PF : string; attribute EN_PF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute EN_UF : string; attribute EN_UF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b0"; attribute FIFO_MEMORY_TYPE : integer; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 512; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 20992; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 512; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "xpm_fifo_base"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 507; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 382; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 507; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 8; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 384; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 9; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 10; attribute RD_LATENCY : integer; attribute RD_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 9; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 41; attribute READ_MODE : integer; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "0707"; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 41; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 9; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 10; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 9; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 9; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 6; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 3; attribute invalid : integer; attribute invalid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 0; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is "soft"; attribute stage1_valid : integer; attribute stage1_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 2; attribute stage2_valid : integer; attribute stage2_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ : entity is 1; end \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ is signal \\ : STD_LOGIC; signal clr_full : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal diff_pntr_pe : STD_LOGIC_VECTOR ( 8 downto 0 ); signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 9 downto 2 ); signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 9 downto 2 ); signal \^empty\ : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \^full\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_10\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_11\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_12\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_13\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_14\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_15\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_16\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_8\ : STD_LOGIC; signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.ram_regout_en\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_4\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal \gwdc.diff_wr_rd_pntr1_out\ : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal overflow_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr_cdc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_wr_cdc_dc : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \^rd_rst_busy\ : STD_LOGIC; signal rdp_inst_n_0 : STD_LOGIC; signal rdp_inst_n_11 : STD_LOGIC; signal rdp_inst_n_21 : STD_LOGIC; signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_32 : STD_LOGIC; signal rdp_inst_n_33 : STD_LOGIC; signal rdp_inst_n_34 : STD_LOGIC; signal rdp_inst_n_35 : STD_LOGIC; signal rdp_inst_n_36 : STD_LOGIC; signal rdp_inst_n_37 : STD_LOGIC; signal rdp_inst_n_38 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d1_inst_n_1 : STD_LOGIC; signal src_in_bin00_out : STD_LOGIC_VECTOR ( 9 downto 0 ); signal underflow_i0 : STD_LOGIC; signal wr_pntr_ext : STD_LOGIC_VECTOR ( 9 downto 0 ); signal wr_pntr_plus1_pf : STD_LOGIC_VECTOR ( 9 downto 1 ); signal wr_pntr_plus1_pf_carry : STD_LOGIC; signal wr_pntr_rd_cdc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_rd_cdc_dc : STD_LOGIC_VECTOR ( 9 downto 0 ); signal wrpp2_inst_n_0 : STD_LOGIC; signal wrpp2_inst_n_1 : STD_LOGIC; signal wrpp2_inst_n_2 : STD_LOGIC; signal wrpp2_inst_n_3 : STD_LOGIC; signal wrpp2_inst_n_4 : STD_LOGIC; signal wrpp2_inst_n_5 : STD_LOGIC; signal wrpp2_inst_n_6 : STD_LOGIC; signal wrpp2_inst_n_7 : STD_LOGIC; signal wrpp2_inst_n_8 : STD_LOGIC; signal wrst_busy : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 40 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair263"; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\ : label is "soft_lutpair263"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH : integer; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 10; attribute XPM_CDC : string; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 5; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 10; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair262"; attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute CASCADE_HEIGHT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute KEEP_HIERARCHY of \gen_sdpram.xpm_memory_base_inst\ : label is "soft"; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE\ : boolean; attribute \MEM.ADDRESS_SPACE\ of \gen_sdpram.xpm_memory_base_inst\ : label is std.standard.true; attribute \MEM.ADDRESS_SPACE_BEGIN\ : integer; attribute \MEM.ADDRESS_SPACE_BEGIN\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 40; attribute \MEM.ADDRESS_SPACE_END\ : integer; attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \gen_sdpram.xpm_memory_base_inst\ : label is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \gen_sdpram.xpm_memory_base_inst\ : label is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \gen_sdpram.xpm_memory_base_inst\ : label is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 20992; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 512; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \gen_sdpram.xpm_memory_base_inst\ : label is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute SIM_ASSERT_CHK of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute VERSION of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WAKEUP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 41; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute XPM_MODULE of \gen_sdpram.xpm_memory_base_inst\ : label is "TRUE"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 44; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 44; attribute SOFT_HLUTNM of \gen_sdpram.xpm_memory_base_inst_i_3\ : label is "soft_lutpair262"; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; empty <= \^empty\; full <= \^full\; full_n <= \\; prog_empty <= \^prog_empty\; prog_full <= \^prog_full\; rd_rst_busy <= \^rd_rst_busy\; sbiterr <= \\; wr_ack <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"69A1" ) port map ( I0 => ram_empty_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => rd_en, O => \next_fwft_state__0\(0) ); \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(0), Q => curr_fwft_state(0), R => \^rd_rst_busy\ ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(1), Q => curr_fwft_state(1), R => \^rd_rst_busy\ ); GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized3__2\ port map ( dest_clk => wr_clk, dest_out_bin(9 downto 0) => rd_pntr_wr_cdc_dc(9 downto 0), src_clk => rd_clk, src_in_bin(9 downto 0) => src_in_bin00_out(9 downto 0) ); \gen_cdc_pntr.rd_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__10\ port map ( dest_clk => wr_clk, dest_out_bin(8 downto 0) => rd_pntr_wr_cdc(8 downto 0), src_clk => rd_clk, src_in_bin(8 downto 0) => rd_pntr_ext(8 downto 0) ); \gen_cdc_pntr.rpw_gray_reg\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0\ port map ( D(8 downto 0) => rd_pntr_wr_cdc(8 downto 0), Q(8 downto 0) => rd_pntr_wr(8 downto 0), clr_full => clr_full, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(8) => wrpp2_inst_n_0, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(7) => wrpp2_inst_n_1, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(6) => wrpp2_inst_n_2, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(5) => wrpp2_inst_n_3, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(4) => wrpp2_inst_n_4, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(3) => wrpp2_inst_n_5, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(2) => wrpp2_inst_n_6, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(1) => wrpp2_inst_n_7, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_i_5_0\(0) => wrpp2_inst_n_8, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(8 downto 0) => wr_pntr_plus1_pf(9 downto 1), \reg_out_i_reg[0]_0\ => \gen_cdc_pntr.rpw_gray_reg_n_9\, wr_clk => wr_clk, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); \gen_cdc_pntr.rpw_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1\ port map ( D(9 downto 0) => rd_pntr_wr_cdc_dc(9 downto 0), Q(9) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, Q(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, Q(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, Q(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, Q(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, Q(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, Q(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, Q(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, Q(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, Q(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_9\, wr_clk => wr_clk, wrst_busy => wrst_busy ); \gen_cdc_pntr.wpr_gray_reg\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_0\ port map ( D(8 downto 0) => diff_pntr_pe(8 downto 0), DI(0) => p_1_in, Q(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, S(7) => rdp_inst_n_31, S(6) => rdp_inst_n_32, S(5) => rdp_inst_n_33, S(4) => rdp_inst_n_34, S(3) => rdp_inst_n_35, S(2) => rdp_inst_n_36, S(1) => rdp_inst_n_37, S(0) => rdp_inst_n_38, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\(0) => rd_pntr_ext(8), rd_clk => rd_clk, \reg_out_i_reg[0]_0\ => \^rd_rst_busy\, \reg_out_i_reg[8]_0\(8 downto 0) => wr_pntr_rd_cdc(8 downto 0) ); \gen_cdc_pntr.wpr_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized1_1\ port map ( D(9 downto 0) => wr_pntr_rd_cdc_dc(9 downto 0), DI(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_0\, DI(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_1\, DI(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_2\, DI(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_3\, DI(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_4\, DI(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_5\, Q(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_6\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_7\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, S(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, \grdc.rd_data_count_i_reg[7]\(0) => count_value_i(1), \grdc.rd_data_count_i_reg[9]\(8) => rdp_inst_n_0, \grdc.rd_data_count_i_reg[9]\(7 downto 0) => rd_pntr_ext(8 downto 1), rd_clk => rd_clk, \reg_out_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \reg_out_i_reg[9]_0\ => \^rd_rst_busy\ ); \gen_cdc_pntr.wr_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized2__2\ port map ( dest_clk => rd_clk, dest_out_bin(9 downto 0) => wr_pntr_rd_cdc_dc(9 downto 0), src_clk => wr_clk, src_in_bin(9 downto 0) => wr_pntr_ext(9 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__9\ port map ( dest_clk => rd_clk, dest_out_bin(8 downto 0) => wr_pntr_rd_cdc(8 downto 0), src_clk => wr_clk, src_in_bin(8 downto 0) => wr_pntr_ext(8 downto 0) ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E0CC" ) port map ( I0 => rd_en, I1 => \^empty\, I2 => curr_fwft_state(1), I3 => curr_fwft_state(0), O => empty_fwft_i0 ); \gen_fwft.empty_fwft_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, Q => \^empty\, S => \^rd_rst_busy\ ); \gen_fwft.rdpp1_inst\: entity work.axi_chip2chip_64B66B_xpm_counter_updn port map ( DI(1) => \gen_fwft.rdpp1_inst_n_3\, DI(0) => \gen_fwft.rdpp1_inst_n_4\, Q(1 downto 0) => count_value_i(1 downto 0), SR(0) => \gen_fwft.count_rst\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, \src_gray_ff_reg[0]\(0) => rd_pntr_ext(0), src_in_bin(0) => src_in_bin00_out(0) ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \gen_cdc_pntr.rpw_gray_reg_n_9\, Q => \^full\, S => wrst_busy ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"AABA" ) port map ( I0 => ram_empty_i, I1 => rd_en, I2 => curr_fwft_state(1), I3 => curr_fwft_state(0), O => p_1_in ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(0), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(1), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(2), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(3), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(4), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(5), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(6), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(7), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(8), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"88B8" ) port map ( I0 => \^prog_empty\, I1 => \^empty\, I2 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\, I3 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"01FF" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[8]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, I4 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\, Q => \^prog_empty\, S => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(2), Q => diff_pntr_pf_q(2), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(3), Q => diff_pntr_pf_q(3), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(4), Q => diff_pntr_pf_q(4), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(5), Q => diff_pntr_pf_q(5), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(6), Q => diff_pntr_pf_q(6), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(7), Q => diff_pntr_pf_q(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(8), Q => diff_pntr_pf_q(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(9), Q => diff_pntr_pf_q(9), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => diff_pntr_pf_q(3), I1 => diff_pntr_pf_q(2), I2 => diff_pntr_pf_q(6), I3 => diff_pntr_pf_q(7), I4 => diff_pntr_pf_q(4), I5 => diff_pntr_pf_q(5), O => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1_inst_n_1, Q => \^prog_full\, S => wrst_busy ); \gen_pf_ic_rc.ram_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i0, Q => ram_empty_i, S => \^rd_rst_busy\ ); \gen_sdpram.xpm_memory_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_memory_base__parameterized0__2\ port map ( addra(8 downto 0) => wr_pntr_ext(8 downto 0), addrb(8 downto 0) => rd_pntr_ext(8 downto 0), clka => wr_clk, clkb => rd_clk, dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, dbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\, dina(40 downto 0) => din(40 downto 0), dinb(40 downto 0) => B"00000000000000000000000000000000000000000", douta(40 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(40 downto 0), doutb(40 downto 0) => dout(40 downto 0), ena => wr_pntr_plus1_pf_carry, enb => ram_rd_en_i, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', injectsbiterrb => '0', regcea => '0', regceb => \gen_fwft.ram_regout_en\, rsta => '0', rstb => \^rd_rst_busy\, sbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\, sbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\, sleep => sleep, wea(0) => '0', web(0) => '0' ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"2C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \gen_fwft.ram_regout_en\ ); \gof.overflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => overflow_i0, Q => overflow, R => '0' ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(1), Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(2), Q => rd_data_count(1), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(3), Q => rd_data_count(2), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(4), Q => rd_data_count(3), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(5), Q => rd_data_count(4), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(6), Q => rd_data_count(5), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(7), Q => rd_data_count(6), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(8), Q => rd_data_count(7), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(9), Q => rd_data_count(8), R => \grdc.rd_data_count_i0\ ); \guf.underflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => underflow_i0, Q => underflow, R => '0' ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(1), Q => wr_data_count(0), R => wrst_busy ); \gwdc.wr_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(2), Q => wr_data_count(1), R => wrst_busy ); \gwdc.wr_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(3), Q => wr_data_count(2), R => wrst_busy ); \gwdc.wr_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(4), Q => wr_data_count(3), R => wrst_busy ); \gwdc.wr_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(5), Q => wr_data_count(4), R => wrst_busy ); \gwdc.wr_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(6), Q => wr_data_count(5), R => wrst_busy ); \gwdc.wr_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(7), Q => wr_data_count(6), R => wrst_busy ); \gwdc.wr_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(8), Q => wr_data_count(7), R => wrst_busy ); \gwdc.wr_data_count_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(9), Q => wr_data_count(8), R => wrst_busy ); rdp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized3\ port map ( D(8 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(9 downto 1), DI(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_0\, DI(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_1\, DI(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_2\, DI(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_3\, DI(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_4\, DI(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_5\, DI(1) => \gen_fwft.rdpp1_inst_n_3\, DI(0) => \gen_fwft.rdpp1_inst_n_4\, Q(9) => rdp_inst_n_0, Q(8 downto 0) => rd_pntr_ext(8 downto 0), S(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[1]_0\ => rdp_inst_n_21, \count_value_i_reg[7]_0\(7) => rdp_inst_n_31, \count_value_i_reg[7]_0\(6) => rdp_inst_n_32, \count_value_i_reg[7]_0\(5) => rdp_inst_n_33, \count_value_i_reg[7]_0\(4) => rdp_inst_n_34, \count_value_i_reg[7]_0\(3) => rdp_inst_n_35, \count_value_i_reg[7]_0\(2) => rdp_inst_n_36, \count_value_i_reg[7]_0\(1) => rdp_inst_n_37, \count_value_i_reg[7]_0\(0) => rdp_inst_n_38, \count_value_i_reg[9]_0\ => \^rd_rst_busy\, \gen_pf_ic_rc.ram_empty_i_reg\(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, \gen_pf_ic_rc.ram_empty_i_reg\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.ram_empty_i_reg\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.ram_empty_i_reg\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.ram_empty_i_reg\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.ram_empty_i_reg\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.ram_empty_i_reg\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.ram_empty_i_reg\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.ram_empty_i_reg\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, \grdc.rd_data_count_i_reg[7]\(1 downto 0) => count_value_i(1 downto 0), \grdc.rd_data_count_i_reg[9]\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \grdc.rd_data_count_i_reg[9]_0\(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_6\, \grdc.rd_data_count_i_reg[9]_0\(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_7\, \grdc.rd_data_count_i_reg[9]_0\(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, \grdc.rd_data_count_i_reg[9]_0\(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, \grdc.rd_data_count_i_reg[9]_0\(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, \grdc.rd_data_count_i_reg[9]_0\(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, \grdc.rd_data_count_i_reg[9]_0\(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, \grdc.rd_data_count_i_reg[9]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, \grdc.rd_data_count_i_reg[9]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, ram_empty_i => ram_empty_i, ram_rd_en_i => ram_rd_en_i, rd_clk => rd_clk, rd_en => rd_en, \reg_out_i_reg[7]\ => rdp_inst_n_11, src_in_bin(8 downto 0) => src_in_bin00_out(9 downto 1) ); rdpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized4\ port map ( E(0) => ram_rd_en_i, Q(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[0]_0\ => \^rd_rst_busy\, \gen_pf_ic_rc.ram_empty_i_reg\ => rdp_inst_n_21, \gen_pf_ic_rc.ram_empty_i_reg_0\ => rdp_inst_n_11, \gen_pf_ic_rc.ram_empty_i_reg_1\(8) => \gen_cdc_pntr.wpr_gray_reg_n_0\, \gen_pf_ic_rc.ram_empty_i_reg_1\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.ram_empty_i_reg_1\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.ram_empty_i_reg_1\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.ram_empty_i_reg_1\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.ram_empty_i_reg_1\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.ram_empty_i_reg_1\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.ram_empty_i_reg_1\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.ram_empty_i_reg_1\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, rd_clk => rd_clk, rd_en => rd_en ); rst_d1_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_bit port map ( Q(1 downto 0) => diff_pntr_pf_q(9 downto 8), clr_full => clr_full, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ => rst_d1_inst_n_1, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ => \^full\, overflow_i0 => overflow_i0, prog_full => \^prog_full\, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wrst_busy => wrst_busy ); wrp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized3_2\ port map ( D(8 downto 0) => \gwdc.diff_wr_rd_pntr1_out\(9 downto 1), Q(9 downto 0) => wr_pntr_ext(9 downto 0), \count_value_i_reg[5]_0\ => \^full\, \gwdc.wr_data_count_i_reg[9]\(9) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, \gwdc.wr_data_count_i_reg[9]\(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, \gwdc.wr_data_count_i_reg[9]\(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, \gwdc.wr_data_count_i_reg[9]\(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, \gwdc.wr_data_count_i_reg[9]\(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, \gwdc.wr_data_count_i_reg[9]\(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, \gwdc.wr_data_count_i_reg[9]\(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, \gwdc.wr_data_count_i_reg[9]\(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, \gwdc.wr_data_count_i_reg[9]\(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, \gwdc.wr_data_count_i_reg[9]\(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_9\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized4_3\ port map ( D(7 downto 0) => diff_pntr_pf_q0(9 downto 2), Q(8 downto 0) => wr_pntr_plus1_pf(9 downto 1), \count_value_i_reg[5]_0\ => \^full\, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[9]\(8 downto 0) => rd_pntr_wr(8 downto 0), rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp2_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized5\ port map ( Q(8) => wrpp2_inst_n_0, Q(7) => wrpp2_inst_n_1, Q(6) => wrpp2_inst_n_2, Q(5) => wrpp2_inst_n_3, Q(4) => wrpp2_inst_n_4, Q(3) => wrpp2_inst_n_5, Q(2) => wrpp2_inst_n_6, Q(1) => wrpp2_inst_n_7, Q(0) => wrpp2_inst_n_8, \count_value_i_reg[5]_0\ => \^full\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); xpm_fifo_rst_inst: entity work.\axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__3\ port map ( Q(1 downto 0) => curr_fwft_state(1 downto 0), SR(0) => \grdc.rd_data_count_i0\, \count_value_i_reg[8]\ => \^full\, \gen_pf_ic_rc.ram_empty_i_reg\(0) => \gen_fwft.count_rst\, \gen_rst_ic.fifo_rd_rst_ic_reg_0\ => \^rd_rst_busy\, \guf.underflow_i_reg\ => \^empty\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_d1 => rst_d1, underflow_i0 => underflow_i0, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wr_rst_busy => wr_rst_busy, wrst_busy => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "0"; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b0"; attribute EN_AF : string; attribute EN_AF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b0"; attribute EN_OF : string; attribute EN_OF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute EN_PE : string; attribute EN_PE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute EN_PF : string; attribute EN_PF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute EN_UF : string; attribute EN_UF of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b0"; attribute FIFO_MEMORY_TYPE : integer; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 256; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 2048; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "xpm_fifo_base"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 128; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute READ_MODE : integer; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "0707"; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 3; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 3; attribute invalid : integer; attribute invalid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 0; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is "soft"; attribute stage1_valid : integer; attribute stage1_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 2; attribute stage2_valid : integer; attribute stage2_valid of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ : entity is 1; end \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ is signal \\ : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal diff_pntr_pe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 8 downto 2 ); signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 8 downto 2 ); signal \^empty\ : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \^full\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_10\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_11\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_12\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_13\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_14\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_15\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_16\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_8\ : STD_LOGIC; signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.ram_regout_en\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_4\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal \gwdc.diff_wr_rd_pntr1_out\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal overflow_i0 : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; signal rd_pntr_ext : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^rd_rst_busy\ : STD_LOGIC; signal rdp_inst_n_10 : STD_LOGIC; signal rdp_inst_n_19 : STD_LOGIC; signal rdp_inst_n_20 : STD_LOGIC; signal rdp_inst_n_21 : STD_LOGIC; signal rdp_inst_n_22 : STD_LOGIC; signal rdp_inst_n_23 : STD_LOGIC; signal rdp_inst_n_24 : STD_LOGIC; signal rdp_inst_n_25 : STD_LOGIC; signal rdp_inst_n_26 : STD_LOGIC; signal rdp_inst_n_27 : STD_LOGIC; signal rdp_inst_n_28 : STD_LOGIC; signal rdp_inst_n_29 : STD_LOGIC; signal rdp_inst_n_30 : STD_LOGIC; signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_8 : STD_LOGIC; signal rdp_inst_n_9 : STD_LOGIC; signal rdpp1_inst_n_0 : STD_LOGIC; signal rdpp1_inst_n_1 : STD_LOGIC; signal rdpp1_inst_n_2 : STD_LOGIC; signal rdpp1_inst_n_3 : STD_LOGIC; signal rdpp1_inst_n_4 : STD_LOGIC; signal rdpp1_inst_n_5 : STD_LOGIC; signal rdpp1_inst_n_6 : STD_LOGIC; signal rdpp1_inst_n_7 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d1_inst_n_1 : STD_LOGIC; signal src_in_bin00_out : STD_LOGIC_VECTOR ( 1 to 1 ); signal underflow_i0 : STD_LOGIC; signal wr_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_plus1_pf : STD_LOGIC_VECTOR ( 8 downto 1 ); signal wr_pntr_plus1_pf_carry : STD_LOGIC; signal wr_pntr_rd_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_pntr_rd_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wrpp2_inst_n_0 : STD_LOGIC; signal wrpp2_inst_n_1 : STD_LOGIC; signal wrpp2_inst_n_2 : STD_LOGIC; signal wrpp2_inst_n_3 : STD_LOGIC; signal wrpp2_inst_n_4 : STD_LOGIC; signal wrpp2_inst_n_5 : STD_LOGIC; signal wrpp2_inst_n_6 : STD_LOGIC; signal wrpp2_inst_n_7 : STD_LOGIC; signal wrst_busy : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair159"; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\ : label is "soft_lutpair159"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH : integer; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC : string; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 5; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair158"; attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute CASCADE_HEIGHT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute KEEP_HIERARCHY of \gen_sdpram.xpm_memory_base_inst\ : label is "soft"; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \gen_sdpram.xpm_memory_base_inst\ : label is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \gen_sdpram.xpm_memory_base_inst\ : label is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \gen_sdpram.xpm_memory_base_inst\ : label is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 2048; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is "distributed"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \gen_sdpram.xpm_memory_base_inst\ : label is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute SIM_ASSERT_CHK of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute VERSION of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WAKEUP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute XPM_MODULE of \gen_sdpram.xpm_memory_base_inst\ : label is "TRUE"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute SOFT_HLUTNM of \gen_sdpram.xpm_memory_base_inst_i_3\ : label is "soft_lutpair158"; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; empty <= \^empty\; full <= \^full\; full_n <= \\; prog_empty <= \^prog_empty\; prog_full <= \^prog_full\; rd_rst_busy <= \^rd_rst_busy\; sbiterr <= \\; wr_ack <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6A85" ) port map ( I0 => curr_fwft_state(0), I1 => rd_en, I2 => curr_fwft_state(1), I3 => ram_empty_i, O => \next_fwft_state__0\(0) ); \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(0), Q => curr_fwft_state(0), R => \^rd_rst_busy\ ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(1), Q => curr_fwft_state(1), R => \^rd_rst_busy\ ); GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1\ port map ( dest_clk => wr_clk, dest_out_bin(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), src_clk => rd_clk, src_in_bin(8) => rdp_inst_n_24, src_in_bin(7) => rdp_inst_n_25, src_in_bin(6) => rdp_inst_n_26, src_in_bin(5) => rdp_inst_n_27, src_in_bin(4) => rdp_inst_n_28, src_in_bin(3) => rdp_inst_n_29, src_in_bin(2) => rdp_inst_n_30, src_in_bin(1) => src_in_bin00_out(1), src_in_bin(0) => rdp_inst_n_31 ); \gen_cdc_pntr.rd_pntr_cdc_inst\: entity work.axi_chip2chip_64B66B_xpm_cdc_gray port map ( dest_clk => wr_clk, dest_out_bin(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), src_clk => rd_clk, src_in_bin(7 downto 0) => rd_pntr_ext(7 downto 0) ); \gen_cdc_pntr.rpw_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec port map ( D(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), d_out_reg => \gen_cdc_pntr.rpw_gray_reg_n_8\, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7) => wrpp2_inst_n_0, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6) => wrpp2_inst_n_1, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5) => wrpp2_inst_n_2, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4) => wrpp2_inst_n_3, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3) => wrpp2_inst_n_4, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2) => wrpp2_inst_n_5, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1) => wrpp2_inst_n_6, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0) => wrpp2_inst_n_7, \reg_out_i_reg[7]_0\(7 downto 0) => rd_pntr_wr(7 downto 0), rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); \gen_cdc_pntr.rpw_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_16\ port map ( D(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), Q(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, Q(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, Q(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, Q(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, Q(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, Q(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, Q(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, Q(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, Q(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, wr_clk => wr_clk, wrst_busy => wrst_busy ); \gen_cdc_pntr.wpr_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec_17 port map ( D(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), Q(1 downto 0) => curr_fwft_state(1 downto 0), \gen_pf_ic_rc.ram_empty_i_reg\(7 downto 0) => rd_pntr_ext(7 downto 0), \gen_pf_ic_rc.ram_empty_i_reg_0\(7) => rdpp1_inst_n_0, \gen_pf_ic_rc.ram_empty_i_reg_0\(6) => rdpp1_inst_n_1, \gen_pf_ic_rc.ram_empty_i_reg_0\(5) => rdpp1_inst_n_2, \gen_pf_ic_rc.ram_empty_i_reg_0\(4) => rdpp1_inst_n_3, \gen_pf_ic_rc.ram_empty_i_reg_0\(3) => rdpp1_inst_n_4, \gen_pf_ic_rc.ram_empty_i_reg_0\(2) => rdpp1_inst_n_5, \gen_pf_ic_rc.ram_empty_i_reg_0\(1) => rdpp1_inst_n_6, \gen_pf_ic_rc.ram_empty_i_reg_0\(0) => rdpp1_inst_n_7, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, rd_clk => rd_clk, rd_en => rd_en, \reg_out_i_reg[0]_0\ => \^rd_rst_busy\, \reg_out_i_reg[7]_0\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \reg_out_i_reg[7]_0\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \reg_out_i_reg[7]_0\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \reg_out_i_reg[7]_0\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \reg_out_i_reg[7]_0\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \reg_out_i_reg[7]_0\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \reg_out_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \reg_out_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\ ); \gen_cdc_pntr.wpr_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_18\ port map ( D(7 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(8 downto 1), DI(1) => rdp_inst_n_9, DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, S(6) => rdp_inst_n_19, S(5) => rdp_inst_n_20, S(4) => rdp_inst_n_21, S(3) => rdp_inst_n_22, S(2) => rdp_inst_n_23, S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, \grdc.rd_data_count_i_reg[7]\(0) => count_value_i(1), \grdc.rd_data_count_i_reg[7]_0\(5 downto 0) => rd_pntr_ext(6 downto 1), \grdc.rd_data_count_i_reg[8]\(0) => rdp_inst_n_10, rd_clk => rd_clk, \reg_out_i_reg[8]_0\ => \^rd_rst_busy\, \reg_out_i_reg[8]_1\(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0\ port map ( dest_clk => rd_clk, dest_out_bin(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0), src_clk => wr_clk, src_in_bin(8 downto 0) => wr_pntr_ext(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__10\ port map ( dest_clk => rd_clk, dest_out_bin(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), src_clk => wr_clk, src_in_bin(7 downto 0) => wr_pntr_ext(7 downto 0) ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"F380" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), I3 => \^empty\, O => empty_fwft_i0 ); \gen_fwft.empty_fwft_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, Q => \^empty\, S => \^rd_rst_busy\ ); \gen_fwft.rdpp1_inst\: entity work.axi_chip2chip_64B66B_xpm_counter_updn_19 port map ( DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(1 downto 0) => count_value_i(1 downto 0), S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, SR(0) => \gen_fwft.count_rst\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \grdc.rd_data_count_i_reg[7]\(1 downto 0) => rd_pntr_ext(1 downto 0), \grdc.rd_data_count_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \grdc.rd_data_count_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(0) => src_in_bin00_out(1) ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \gen_cdc_pntr.rpw_gray_reg_n_8\, Q => \^full\, S => wrst_busy ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(0), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(1), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(2), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(3), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(4), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(5), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(6), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(7), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"88B8" ) port map ( I0 => \^prog_empty\, I1 => \^empty\, I2 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\, I3 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"01FF" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\, Q => \^prog_empty\, S => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(2), Q => diff_pntr_pf_q(2), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(3), Q => diff_pntr_pf_q(3), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(4), Q => diff_pntr_pf_q(4), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(5), Q => diff_pntr_pf_q(5), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(6), Q => diff_pntr_pf_q(6), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(7), Q => diff_pntr_pf_q(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(8), Q => diff_pntr_pf_q(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => diff_pntr_pf_q(3), I1 => diff_pntr_pf_q(2), I2 => diff_pntr_pf_q(6), I3 => diff_pntr_pf_q(7), I4 => diff_pntr_pf_q(4), I5 => diff_pntr_pf_q(5), O => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1_inst_n_1, Q => \^prog_full\, S => wrst_busy ); \gen_pf_ic_rc.ram_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i0, Q => ram_empty_i, S => \^rd_rst_busy\ ); \gen_sdpram.xpm_memory_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_memory_base__parameterized1\ port map ( addra(7 downto 0) => wr_pntr_ext(7 downto 0), addrb(7 downto 0) => rd_pntr_ext(7 downto 0), clka => wr_clk, clkb => rd_clk, dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, dbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\, dina(7 downto 0) => din(7 downto 0), dinb(7 downto 0) => B"00000000", douta(7 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(7 downto 0), doutb(7 downto 0) => dout(7 downto 0), ena => wr_pntr_plus1_pf_carry, enb => rdp_inst_n_8, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', injectsbiterrb => '0', regcea => '0', regceb => \gen_fwft.ram_regout_en\, rsta => '0', rstb => \^rd_rst_busy\, sbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\, sbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\, sleep => sleep, wea(0) => '0', web(0) => '0' ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"62" ) port map ( I0 => curr_fwft_state(0), I1 => curr_fwft_state(1), I2 => rd_en, O => \gen_fwft.ram_regout_en\ ); \gof.overflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => overflow_i0, Q => overflow, R => '0' ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(1), Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(2), Q => rd_data_count(1), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(3), Q => rd_data_count(2), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(4), Q => rd_data_count(3), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(5), Q => rd_data_count(4), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(6), Q => rd_data_count(5), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(7), Q => rd_data_count(6), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(8), Q => rd_data_count(7), R => \grdc.rd_data_count_i0\ ); \guf.underflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => underflow_i0, Q => underflow, R => '0' ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(1), Q => wr_data_count(0), R => wrst_busy ); \gwdc.wr_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(2), Q => wr_data_count(1), R => wrst_busy ); \gwdc.wr_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(3), Q => wr_data_count(2), R => wrst_busy ); \gwdc.wr_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(4), Q => wr_data_count(3), R => wrst_busy ); \gwdc.wr_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(5), Q => wr_data_count(4), R => wrst_busy ); \gwdc.wr_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(6), Q => wr_data_count(5), R => wrst_busy ); \gwdc.wr_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(7), Q => wr_data_count(6), R => wrst_busy ); \gwdc.wr_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(8), Q => wr_data_count(7), R => wrst_busy ); rdp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0\ port map ( D(7 downto 0) => diff_pntr_pe(7 downto 0), DI(0) => rdp_inst_n_9, Q(7 downto 0) => rd_pntr_ext(7 downto 0), S(4) => rdp_inst_n_19, S(3) => rdp_inst_n_20, S(2) => rdp_inst_n_21, S(1) => rdp_inst_n_22, S(0) => rdp_inst_n_23, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[7]_0\(0) => rdp_inst_n_10, \count_value_i_reg[8]_0\ => \^rd_rst_busy\, enb => rdp_inst_n_8, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, \grdc.rd_data_count_i_reg[7]\(1 downto 0) => count_value_i(1 downto 0), \grdc.rd_data_count_i_reg[8]\(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, \grdc.rd_data_count_i_reg[8]\(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, \grdc.rd_data_count_i_reg[8]\(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, \grdc.rd_data_count_i_reg[8]\(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, \grdc.rd_data_count_i_reg[8]\(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, \grdc.rd_data_count_i_reg[8]\(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, \grdc.rd_data_count_i_reg[8]\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, \grdc.rd_data_count_i_reg[8]\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(7) => rdp_inst_n_24, src_in_bin(6) => rdp_inst_n_25, src_in_bin(5) => rdp_inst_n_26, src_in_bin(4) => rdp_inst_n_27, src_in_bin(3) => rdp_inst_n_28, src_in_bin(2) => rdp_inst_n_29, src_in_bin(1) => rdp_inst_n_30, src_in_bin(0) => rdp_inst_n_31 ); rdpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1\ port map ( E(0) => rdp_inst_n_8, Q(7) => rdpp1_inst_n_0, Q(6) => rdpp1_inst_n_1, Q(5) => rdpp1_inst_n_2, Q(4) => rdpp1_inst_n_3, Q(3) => rdpp1_inst_n_4, Q(2) => rdpp1_inst_n_5, Q(1) => rdpp1_inst_n_6, Q(0) => rdpp1_inst_n_7, \count_value_i_reg[0]_0\ => \^rd_rst_busy\, \count_value_i_reg[1]_0\(1 downto 0) => curr_fwft_state(1 downto 0), ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en ); rst_d1_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_bit_20 port map ( Q(0) => diff_pntr_pf_q(8), \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ => rst_d1_inst_n_1, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ => \^full\, overflow_i0 => overflow_i0, prog_full => \^prog_full\, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wrst_busy => wrst_busy ); wrp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_21\ port map ( D(7 downto 0) => \gwdc.diff_wr_rd_pntr1_out\(8 downto 1), Q(8 downto 0) => wr_pntr_ext(8 downto 0), \count_value_i_reg[6]_0\ => \^full\, \gwdc.wr_data_count_i_reg[8]\(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, \gwdc.wr_data_count_i_reg[8]\(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, \gwdc.wr_data_count_i_reg[8]\(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, \gwdc.wr_data_count_i_reg[8]\(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, \gwdc.wr_data_count_i_reg[8]\(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, \gwdc.wr_data_count_i_reg[8]\(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, \gwdc.wr_data_count_i_reg[8]\(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, \gwdc.wr_data_count_i_reg[8]\(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, \gwdc.wr_data_count_i_reg[8]\(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_22\ port map ( D(6 downto 0) => diff_pntr_pf_q0(8 downto 2), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), \count_value_i_reg[6]_0\ => \^full\, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7 downto 0) => rd_pntr_wr(7 downto 0), rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp2_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized2\ port map ( Q(7) => wrpp2_inst_n_0, Q(6) => wrpp2_inst_n_1, Q(5) => wrpp2_inst_n_2, Q(4) => wrpp2_inst_n_3, Q(3) => wrpp2_inst_n_4, Q(2) => wrpp2_inst_n_5, Q(1) => wrpp2_inst_n_6, Q(0) => wrpp2_inst_n_7, \count_value_i_reg[6]_0\ => \^full\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); xpm_fifo_rst_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_rst port map ( Q(1 downto 0) => curr_fwft_state(1 downto 0), SR(0) => \grdc.rd_data_count_i0\, \count_value_i_reg[7]\ => \^full\, \gen_rst_ic.fifo_rd_rst_ic_reg_0\ => \^rd_rst_busy\, \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) => \gen_fwft.count_rst\, \guf.underflow_i_reg\ => \^empty\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_d1 => rst_d1, underflow_i0 => underflow_i0, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wr_rst_busy => wr_rst_busy, wrst_busy => wrst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 49 downto 0 ); full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 49 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "0"; attribute ECC_MODE : integer; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b0"; attribute EN_AF : string; attribute EN_AF of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b0"; attribute EN_OF : string; attribute EN_OF of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute EN_PE : string; attribute EN_PE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute EN_PF : string; attribute EN_PF of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute EN_UF : string; attribute EN_UF of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b0"; attribute FIFO_MEMORY_TYPE : integer; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 256; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 12800; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "xpm_fifo_base"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 128; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 50; attribute READ_MODE : integer; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "0707"; attribute VERSION : integer; attribute VERSION of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 50; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 6; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 3; attribute invalid : integer; attribute invalid of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 0; attribute keep_hierarchy : string; attribute keep_hierarchy of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is "soft"; attribute stage1_valid : integer; attribute stage1_valid of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 2; attribute stage2_valid : integer; attribute stage2_valid of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ : entity is 1; end \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ is signal \\ : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal diff_pntr_pe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 8 downto 2 ); signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 8 downto 2 ); signal \^empty\ : STD_LOGIC; signal empty_fwft_i0 : STD_LOGIC; signal \^full\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_0\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.rpw_gray_reg_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_10\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_11\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_12\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_13\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_14\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_15\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_16\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_8\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_dc_n_9\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_1\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_2\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_3\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_4\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_5\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_6\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_7\ : STD_LOGIC; signal \gen_cdc_pntr.wpr_gray_reg_n_8\ : STD_LOGIC; signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.ram_regout_en\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_4\ : STD_LOGIC; signal \gen_fwft.rdpp1_inst_n_5\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal \gwdc.diff_wr_rd_pntr1_out\ : STD_LOGIC_VECTOR ( 8 downto 1 ); signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal overflow_i0 : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; signal rd_pntr_ext : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_pntr_wr_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^rd_rst_busy\ : STD_LOGIC; signal rdp_inst_n_10 : STD_LOGIC; signal rdp_inst_n_19 : STD_LOGIC; signal rdp_inst_n_20 : STD_LOGIC; signal rdp_inst_n_21 : STD_LOGIC; signal rdp_inst_n_22 : STD_LOGIC; signal rdp_inst_n_23 : STD_LOGIC; signal rdp_inst_n_24 : STD_LOGIC; signal rdp_inst_n_25 : STD_LOGIC; signal rdp_inst_n_26 : STD_LOGIC; signal rdp_inst_n_27 : STD_LOGIC; signal rdp_inst_n_28 : STD_LOGIC; signal rdp_inst_n_29 : STD_LOGIC; signal rdp_inst_n_30 : STD_LOGIC; signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_8 : STD_LOGIC; signal rdp_inst_n_9 : STD_LOGIC; signal rdpp1_inst_n_0 : STD_LOGIC; signal rdpp1_inst_n_1 : STD_LOGIC; signal rdpp1_inst_n_2 : STD_LOGIC; signal rdpp1_inst_n_3 : STD_LOGIC; signal rdpp1_inst_n_4 : STD_LOGIC; signal rdpp1_inst_n_5 : STD_LOGIC; signal rdpp1_inst_n_6 : STD_LOGIC; signal rdpp1_inst_n_7 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d1_inst_n_1 : STD_LOGIC; signal src_in_bin00_out : STD_LOGIC_VECTOR ( 1 to 1 ); signal underflow_i0 : STD_LOGIC; signal wr_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_plus1_pf : STD_LOGIC_VECTOR ( 8 downto 1 ); signal wr_pntr_plus1_pf_carry : STD_LOGIC; signal wr_pntr_rd_cdc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_pntr_rd_cdc_dc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wrpp2_inst_n_0 : STD_LOGIC; signal wrpp2_inst_n_1 : STD_LOGIC; signal wrpp2_inst_n_2 : STD_LOGIC; signal wrpp2_inst_n_3 : STD_LOGIC; signal wrpp2_inst_n_4 : STD_LOGIC; signal wrpp2_inst_n_5 : STD_LOGIC; signal wrpp2_inst_n_6 : STD_LOGIC; signal wrpp2_inst_n_7 : STD_LOGIC; signal wrst_busy : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 49 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\ : label is "soft_lutpair126"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 3; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT : integer; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK : integer; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH : integer; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC : string; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.rd_pntr_cdc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 5; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is 9; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_dc_inst\ : label is "TRUE"; attribute DEST_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 3; attribute INIT_SYNC_FF of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 1; attribute REG_OUTPUT of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute SIM_LOSSLESS_GRAY_CHK of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute VERSION of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 0; attribute WIDTH of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is 8; attribute XPM_CDC of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "GRAY"; attribute XPM_MODULE of \gen_cdc_pntr.wr_pntr_cdc_inst\ : label is "TRUE"; attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair125"; attribute ADDR_WIDTH_A : integer; attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute ADDR_WIDTH_B : integer; attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; attribute BYTE_WRITE_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute BYTE_WRITE_WIDTH_B : integer; attribute BYTE_WRITE_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute CASCADE_HEIGHT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute CLOCKING_MODE : integer; attribute CLOCKING_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute KEEP_HIERARCHY of \gen_sdpram.xpm_memory_base_inst\ : label is "soft"; attribute MAX_NUM_CHAR : integer; attribute MAX_NUM_CHAR of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE\ : boolean; attribute \MEM.ADDRESS_SPACE\ of \gen_sdpram.xpm_memory_base_inst\ : label is std.standard.true; attribute \MEM.ADDRESS_SPACE_BEGIN\ : integer; attribute \MEM.ADDRESS_SPACE_BEGIN\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_LSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 49; attribute \MEM.ADDRESS_SPACE_END\ : integer; attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute MEMORY_INIT_FILE : string; attribute MEMORY_INIT_FILE of \gen_sdpram.xpm_memory_base_inst\ : label is "none"; attribute MEMORY_INIT_PARAM : string; attribute MEMORY_INIT_PARAM of \gen_sdpram.xpm_memory_base_inst\ : label is ""; attribute MEMORY_OPTIMIZATION : string; attribute MEMORY_OPTIMIZATION of \gen_sdpram.xpm_memory_base_inst\ : label is "true"; attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 12800; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; attribute MESSAGE_CONTROL of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute NUM_CHAR_LOC : integer; attribute NUM_CHAR_LOC of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ECC_MODE : string; attribute P_ECC_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no_ecc"; attribute P_ENABLE_BYTE_WRITE_A : integer; attribute P_ENABLE_BYTE_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 256; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; attribute P_MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is "block"; attribute P_MIN_WIDTH_DATA : integer; attribute P_MIN_WIDTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_A : integer; attribute P_MIN_WIDTH_DATA_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_B : integer; attribute P_MIN_WIDTH_DATA_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_ECC : integer; attribute P_MIN_WIDTH_DATA_ECC of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_MIN_WIDTH_DATA_LDW : integer; attribute P_MIN_WIDTH_DATA_LDW of \gen_sdpram.xpm_memory_base_inst\ : label is 4; attribute P_MIN_WIDTH_DATA_SHFT : integer; attribute P_MIN_WIDTH_DATA_SHFT of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_NUM_COLS_WRITE_A : integer; attribute P_NUM_COLS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_COLS_WRITE_B : integer; attribute P_NUM_COLS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_A : integer; attribute P_NUM_ROWS_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_READ_B : integer; attribute P_NUM_ROWS_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_A : integer; attribute P_NUM_ROWS_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_NUM_ROWS_WRITE_B : integer; attribute P_NUM_ROWS_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute P_SDP_WRITE_MODE : string; attribute P_SDP_WRITE_MODE of \gen_sdpram.xpm_memory_base_inst\ : label is "no"; attribute P_WIDTH_ADDR_LSB_READ_A : integer; attribute P_WIDTH_ADDR_LSB_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_READ_B : integer; attribute P_WIDTH_ADDR_LSB_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_A : integer; attribute P_WIDTH_ADDR_LSB_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_READ_B : integer; attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_A : integer; attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_ADDR_WRITE_B : integer; attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 8; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute P_WIDTH_COL_WRITE_B : integer; attribute P_WIDTH_COL_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_DATA_WIDTH_A : integer; attribute READ_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_DATA_WIDTH_B : integer; attribute READ_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute READ_LATENCY_A : integer; attribute READ_LATENCY_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_LATENCY_B : integer; attribute READ_LATENCY_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute READ_RESET_VALUE_A : string; attribute READ_RESET_VALUE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute READ_RESET_VALUE_B : string; attribute READ_RESET_VALUE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "0"; attribute RST_MODE_A : string; attribute RST_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute RST_MODE_B : string; attribute RST_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is "SYNC"; attribute SIM_ASSERT_CHK of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_EMBEDDED_CONSTRAINT : integer; attribute USE_EMBEDDED_CONSTRAINT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT : integer; attribute USE_MEM_INIT of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute USE_MEM_INIT_MMI : integer; attribute USE_MEM_INIT_MMI of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute VERSION of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WAKEUP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute WRITE_DATA_WIDTH_A : integer; attribute WRITE_DATA_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute WRITE_DATA_WIDTH_B : integer; attribute WRITE_DATA_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 50; attribute WRITE_MODE_A : integer; attribute WRITE_MODE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_MODE_B : integer; attribute WRITE_MODE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute WRITE_PROTECT : integer; attribute WRITE_PROTECT of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute XPM_MODULE of \gen_sdpram.xpm_memory_base_inst\ : label is "TRUE"; attribute rsta_loop_iter : integer; attribute rsta_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute rstb_loop_iter : integer; attribute rstb_loop_iter of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute SOFT_HLUTNM of \gen_sdpram.xpm_memory_base_inst_i_3\ : label is "soft_lutpair125"; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; empty <= \^empty\; full <= \^full\; full_n <= \\; prog_empty <= \^prog_empty\; prog_full <= \^prog_full\; rd_rst_busy <= \^rd_rst_busy\; sbiterr <= \\; wr_ack <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6A85" ) port map ( I0 => curr_fwft_state(0), I1 => rd_en, I2 => curr_fwft_state(1), I3 => ram_empty_i, O => \next_fwft_state__0\(0) ); \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7C" ) port map ( I0 => rd_en, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(0), Q => curr_fwft_state(0), R => \^rd_rst_busy\ ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \next_fwft_state__0\(1), Q => curr_fwft_state(1), R => \^rd_rst_busy\ ); GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_cdc_pntr.rd_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized1__7\ port map ( dest_clk => wr_clk, dest_out_bin(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), src_clk => rd_clk, src_in_bin(8) => rdp_inst_n_24, src_in_bin(7) => rdp_inst_n_25, src_in_bin(6) => rdp_inst_n_26, src_in_bin(5) => rdp_inst_n_27, src_in_bin(4) => rdp_inst_n_28, src_in_bin(3) => rdp_inst_n_29, src_in_bin(2) => rdp_inst_n_30, src_in_bin(1) => src_in_bin00_out(1), src_in_bin(0) => rdp_inst_n_31 ); \gen_cdc_pntr.rd_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__7\ port map ( dest_clk => wr_clk, dest_out_bin(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), src_clk => rd_clk, src_in_bin(7 downto 0) => rd_pntr_ext(7 downto 0) ); \gen_cdc_pntr.rpw_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec_23 port map ( D(7 downto 0) => rd_pntr_wr_cdc(7 downto 0), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), d_out_reg => \gen_cdc_pntr.rpw_gray_reg_n_8\, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(7) => wrpp2_inst_n_0, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(6) => wrpp2_inst_n_1, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(5) => wrpp2_inst_n_2, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(4) => wrpp2_inst_n_3, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(3) => wrpp2_inst_n_4, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(2) => wrpp2_inst_n_5, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(1) => wrpp2_inst_n_6, \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\(0) => wrpp2_inst_n_7, \reg_out_i_reg[7]_0\(7 downto 0) => rd_pntr_wr(7 downto 0), rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); \gen_cdc_pntr.rpw_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_24\ port map ( D(8 downto 0) => rd_pntr_wr_cdc_dc(8 downto 0), Q(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, Q(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, Q(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, Q(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, Q(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, Q(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, Q(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, Q(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, Q(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, wr_clk => wr_clk, wrst_busy => wrst_busy ); \gen_cdc_pntr.wpr_gray_reg\: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_vec_25 port map ( D(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), Q(1 downto 0) => curr_fwft_state(1 downto 0), \gen_pf_ic_rc.ram_empty_i_reg\(7 downto 0) => rd_pntr_ext(7 downto 0), \gen_pf_ic_rc.ram_empty_i_reg_0\(7) => rdpp1_inst_n_0, \gen_pf_ic_rc.ram_empty_i_reg_0\(6) => rdpp1_inst_n_1, \gen_pf_ic_rc.ram_empty_i_reg_0\(5) => rdpp1_inst_n_2, \gen_pf_ic_rc.ram_empty_i_reg_0\(4) => rdpp1_inst_n_3, \gen_pf_ic_rc.ram_empty_i_reg_0\(3) => rdpp1_inst_n_4, \gen_pf_ic_rc.ram_empty_i_reg_0\(2) => rdpp1_inst_n_5, \gen_pf_ic_rc.ram_empty_i_reg_0\(1) => rdpp1_inst_n_6, \gen_pf_ic_rc.ram_empty_i_reg_0\(0) => rdpp1_inst_n_7, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, rd_clk => rd_clk, rd_en => rd_en, \reg_out_i_reg[0]_0\ => \^rd_rst_busy\, \reg_out_i_reg[7]_0\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \reg_out_i_reg[7]_0\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \reg_out_i_reg[7]_0\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \reg_out_i_reg[7]_0\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \reg_out_i_reg[7]_0\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \reg_out_i_reg[7]_0\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \reg_out_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \reg_out_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\ ); \gen_cdc_pntr.wpr_gray_reg_dc\: entity work.\axi_chip2chip_64B66B_xpm_fifo_reg_vec__parameterized0_26\ port map ( D(7 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(8 downto 1), DI(1) => rdp_inst_n_9, DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(8) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, Q(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, Q(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, Q(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, Q(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, Q(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, Q(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, Q(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, Q(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, S(6) => rdp_inst_n_19, S(5) => rdp_inst_n_20, S(4) => rdp_inst_n_21, S(3) => rdp_inst_n_22, S(2) => rdp_inst_n_23, S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, \grdc.rd_data_count_i_reg[7]\(0) => count_value_i(1), \grdc.rd_data_count_i_reg[7]_0\(5 downto 0) => rd_pntr_ext(6 downto 1), \grdc.rd_data_count_i_reg[8]\(0) => rdp_inst_n_10, rd_clk => rd_clk, \reg_out_i_reg[8]_0\ => \^rd_rst_busy\, \reg_out_i_reg[8]_1\(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_dc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__parameterized0__3\ port map ( dest_clk => rd_clk, dest_out_bin(8 downto 0) => wr_pntr_rd_cdc_dc(8 downto 0), src_clk => wr_clk, src_in_bin(8 downto 0) => wr_pntr_ext(8 downto 0) ); \gen_cdc_pntr.wr_pntr_cdc_inst\: entity work.\axi_chip2chip_64B66B_xpm_cdc_gray__6\ port map ( dest_clk => rd_clk, dest_out_bin(7 downto 0) => wr_pntr_rd_cdc(7 downto 0), src_clk => wr_clk, src_in_bin(7 downto 0) => wr_pntr_ext(7 downto 0) ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"F380" ) port map ( I0 => rd_en, I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), I3 => \^empty\, O => empty_fwft_i0 ); \gen_fwft.empty_fwft_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => empty_fwft_i0, Q => \^empty\, S => \^rd_rst_busy\ ); \gen_fwft.rdpp1_inst\: entity work.axi_chip2chip_64B66B_xpm_counter_updn_27 port map ( DI(0) => \gen_fwft.rdpp1_inst_n_5\, Q(1 downto 0) => count_value_i(1 downto 0), S(1) => \gen_fwft.rdpp1_inst_n_3\, S(0) => \gen_fwft.rdpp1_inst_n_4\, SR(0) => \gen_fwft.count_rst\, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \grdc.rd_data_count_i_reg[7]\(1 downto 0) => rd_pntr_ext(1 downto 0), \grdc.rd_data_count_i_reg[7]_0\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, \grdc.rd_data_count_i_reg[7]_0\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_16\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(0) => src_in_bin00_out(1) ); \gen_pf_ic_rc.gen_full_rst_val.ram_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \gen_cdc_pntr.rpw_gray_reg_n_8\, Q => \^full\, S => wrst_busy ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(0), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(1), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(2), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(3), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(4), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(5), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(6), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => diff_pntr_pe(7), Q => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, R => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"88B8" ) port map ( I0 => \^prog_empty\, I1 => \^empty\, I2 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\, I3 => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"01FF" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[0]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[1]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[2]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[3]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_2_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[5]\, I1 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[4]\, I2 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[7]\, I3 => \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg_n_0_[6]\, O => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_3_n_0\ ); \gen_pf_ic_rc.gpe_ic.prog_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gen_pf_ic_rc.gpe_ic.prog_empty_i_i_1_n_0\, Q => \^prog_empty\, S => \^rd_rst_busy\ ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(2), Q => diff_pntr_pf_q(2), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(3), Q => diff_pntr_pf_q(3), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(4), Q => diff_pntr_pf_q(4), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(5), Q => diff_pntr_pf_q(5), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(6), Q => diff_pntr_pf_q(6), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(7), Q => diff_pntr_pf_q(7), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => diff_pntr_pf_q0(8), Q => diff_pntr_pf_q(8), R => wrst_busy ); \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => diff_pntr_pf_q(3), I1 => diff_pntr_pf_q(2), I2 => diff_pntr_pf_q(6), I3 => diff_pntr_pf_q(7), I4 => diff_pntr_pf_q(4), I5 => diff_pntr_pf_q(5), O => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\ ); \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1_inst_n_1, Q => \^prog_full\, S => wrst_busy ); \gen_pf_ic_rc.ram_empty_i_reg\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i0, Q => ram_empty_i, S => \^rd_rst_busy\ ); \gen_sdpram.xpm_memory_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_memory_base__2\ port map ( addra(7 downto 0) => wr_pntr_ext(7 downto 0), addrb(7 downto 0) => rd_pntr_ext(7 downto 0), clka => wr_clk, clkb => rd_clk, dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, dbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\, dina(49 downto 0) => din(49 downto 0), dinb(49 downto 0) => B"00000000000000000000000000000000000000000000000000", douta(49 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(49 downto 0), doutb(49 downto 0) => dout(49 downto 0), ena => wr_pntr_plus1_pf_carry, enb => rdp_inst_n_8, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', injectsbiterrb => '0', regcea => '0', regceb => \gen_fwft.ram_regout_en\, rsta => '0', rstb => \^rd_rst_busy\, sbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\, sbiterrb => \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\, sleep => sleep, wea(0) => '0', web(0) => '0' ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"62" ) port map ( I0 => curr_fwft_state(0), I1 => curr_fwft_state(1), I2 => rd_en, O => \gen_fwft.ram_regout_en\ ); \gof.overflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => overflow_i0, Q => overflow, R => '0' ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(1), Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(2), Q => rd_data_count(1), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(3), Q => rd_data_count(2), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(4), Q => rd_data_count(3), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(5), Q => rd_data_count(4), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(6), Q => rd_data_count(5), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(7), Q => rd_data_count(6), R => \grdc.rd_data_count_i0\ ); \grdc.rd_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => rd_clk, CE => '1', D => \grdc.diff_wr_rd_pntr_rdc\(8), Q => rd_data_count(7), R => \grdc.rd_data_count_i0\ ); \guf.underflow_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => underflow_i0, Q => underflow, R => '0' ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(1), Q => wr_data_count(0), R => wrst_busy ); \gwdc.wr_data_count_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(2), Q => wr_data_count(1), R => wrst_busy ); \gwdc.wr_data_count_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(3), Q => wr_data_count(2), R => wrst_busy ); \gwdc.wr_data_count_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(4), Q => wr_data_count(3), R => wrst_busy ); \gwdc.wr_data_count_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(5), Q => wr_data_count(4), R => wrst_busy ); \gwdc.wr_data_count_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(6), Q => wr_data_count(5), R => wrst_busy ); \gwdc.wr_data_count_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(7), Q => wr_data_count(6), R => wrst_busy ); \gwdc.wr_data_count_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => wr_clk, CE => '1', D => \gwdc.diff_wr_rd_pntr1_out\(8), Q => wr_data_count(7), R => wrst_busy ); rdp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_28\ port map ( D(7 downto 0) => diff_pntr_pe(7 downto 0), DI(0) => rdp_inst_n_9, Q(7 downto 0) => rd_pntr_ext(7 downto 0), S(4) => rdp_inst_n_19, S(3) => rdp_inst_n_20, S(2) => rdp_inst_n_21, S(1) => rdp_inst_n_22, S(0) => rdp_inst_n_23, \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), \count_value_i_reg[7]_0\(0) => rdp_inst_n_10, \count_value_i_reg[8]_0\ => \^rd_rst_busy\, enb => rdp_inst_n_8, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(7) => \gen_cdc_pntr.wpr_gray_reg_n_1\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(6) => \gen_cdc_pntr.wpr_gray_reg_n_2\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(5) => \gen_cdc_pntr.wpr_gray_reg_n_3\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(4) => \gen_cdc_pntr.wpr_gray_reg_n_4\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(3) => \gen_cdc_pntr.wpr_gray_reg_n_5\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(2) => \gen_cdc_pntr.wpr_gray_reg_n_6\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(1) => \gen_cdc_pntr.wpr_gray_reg_n_7\, \gen_pf_ic_rc.gpe_ic.diff_pntr_pe_reg[7]\(0) => \gen_cdc_pntr.wpr_gray_reg_n_8\, \grdc.rd_data_count_i_reg[7]\(1 downto 0) => count_value_i(1 downto 0), \grdc.rd_data_count_i_reg[8]\(7) => \gen_cdc_pntr.wpr_gray_reg_dc_n_8\, \grdc.rd_data_count_i_reg[8]\(6) => \gen_cdc_pntr.wpr_gray_reg_dc_n_9\, \grdc.rd_data_count_i_reg[8]\(5) => \gen_cdc_pntr.wpr_gray_reg_dc_n_10\, \grdc.rd_data_count_i_reg[8]\(4) => \gen_cdc_pntr.wpr_gray_reg_dc_n_11\, \grdc.rd_data_count_i_reg[8]\(3) => \gen_cdc_pntr.wpr_gray_reg_dc_n_12\, \grdc.rd_data_count_i_reg[8]\(2) => \gen_cdc_pntr.wpr_gray_reg_dc_n_13\, \grdc.rd_data_count_i_reg[8]\(1) => \gen_cdc_pntr.wpr_gray_reg_dc_n_14\, \grdc.rd_data_count_i_reg[8]\(0) => \gen_cdc_pntr.wpr_gray_reg_dc_n_15\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, src_in_bin(7) => rdp_inst_n_24, src_in_bin(6) => rdp_inst_n_25, src_in_bin(5) => rdp_inst_n_26, src_in_bin(4) => rdp_inst_n_27, src_in_bin(3) => rdp_inst_n_28, src_in_bin(2) => rdp_inst_n_29, src_in_bin(1) => rdp_inst_n_30, src_in_bin(0) => rdp_inst_n_31 ); rdpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_29\ port map ( E(0) => rdp_inst_n_8, Q(7) => rdpp1_inst_n_0, Q(6) => rdpp1_inst_n_1, Q(5) => rdpp1_inst_n_2, Q(4) => rdpp1_inst_n_3, Q(3) => rdpp1_inst_n_4, Q(2) => rdpp1_inst_n_5, Q(1) => rdpp1_inst_n_6, Q(0) => rdpp1_inst_n_7, \count_value_i_reg[0]_0\ => \^rd_rst_busy\, \count_value_i_reg[1]_0\(1 downto 0) => curr_fwft_state(1 downto 0), ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en ); rst_d1_inst: entity work.axi_chip2chip_64B66B_xpm_fifo_reg_bit_30 port map ( Q(0) => diff_pntr_pf_q(8), \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\ => rst_d1_inst_n_1, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg\ => \gen_pf_ic_rc.gpf_ic.prog_full_i_i_2_n_0\, \gen_pf_ic_rc.gpf_ic.prog_full_i_reg_0\ => \^full\, overflow_i0 => overflow_i0, prog_full => \^prog_full\, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wrst_busy => wrst_busy ); wrp_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized0_31\ port map ( D(7 downto 0) => \gwdc.diff_wr_rd_pntr1_out\(8 downto 1), Q(8 downto 0) => wr_pntr_ext(8 downto 0), \count_value_i_reg[6]_0\ => \^full\, \gwdc.wr_data_count_i_reg[8]\(8) => \gen_cdc_pntr.rpw_gray_reg_dc_n_0\, \gwdc.wr_data_count_i_reg[8]\(7) => \gen_cdc_pntr.rpw_gray_reg_dc_n_1\, \gwdc.wr_data_count_i_reg[8]\(6) => \gen_cdc_pntr.rpw_gray_reg_dc_n_2\, \gwdc.wr_data_count_i_reg[8]\(5) => \gen_cdc_pntr.rpw_gray_reg_dc_n_3\, \gwdc.wr_data_count_i_reg[8]\(4) => \gen_cdc_pntr.rpw_gray_reg_dc_n_4\, \gwdc.wr_data_count_i_reg[8]\(3) => \gen_cdc_pntr.rpw_gray_reg_dc_n_5\, \gwdc.wr_data_count_i_reg[8]\(2) => \gen_cdc_pntr.rpw_gray_reg_dc_n_6\, \gwdc.wr_data_count_i_reg[8]\(1) => \gen_cdc_pntr.rpw_gray_reg_dc_n_7\, \gwdc.wr_data_count_i_reg[8]\(0) => \gen_cdc_pntr.rpw_gray_reg_dc_n_8\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp1_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized1_32\ port map ( D(6 downto 0) => diff_pntr_pf_q0(8 downto 2), Q(7 downto 0) => wr_pntr_plus1_pf(8 downto 1), \count_value_i_reg[6]_0\ => \^full\, \gen_pf_ic_rc.gpf_ic.diff_pntr_pf_q_reg[8]\(7 downto 0) => rd_pntr_wr(7 downto 0), rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); wrpp2_inst: entity work.\axi_chip2chip_64B66B_xpm_counter_updn__parameterized2_33\ port map ( Q(7) => wrpp2_inst_n_0, Q(6) => wrpp2_inst_n_1, Q(5) => wrpp2_inst_n_2, Q(4) => wrpp2_inst_n_3, Q(3) => wrpp2_inst_n_4, Q(2) => wrpp2_inst_n_5, Q(1) => wrpp2_inst_n_6, Q(0) => wrpp2_inst_n_7, \count_value_i_reg[6]_0\ => \^full\, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wrst_busy => wrst_busy ); xpm_fifo_rst_inst: entity work.\axi_chip2chip_64B66B_xpm_fifo_rst__xdcDup__1\ port map ( Q(1 downto 0) => curr_fwft_state(1 downto 0), SR(0) => \grdc.rd_data_count_i0\, \count_value_i_reg[7]\ => \^full\, \gen_rst_ic.fifo_rd_rst_ic_reg_0\ => \^rd_rst_busy\, \gen_rst_ic.fifo_rd_rst_ic_reg_1\(0) => \gen_fwft.count_rst\, \guf.underflow_i_reg\ => \^empty\, ram_empty_i => ram_empty_i, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_d1 => rst_d1, underflow_i0 => underflow_i0, wr_clk => wr_clk, wr_en => wr_en, wr_pntr_plus1_pf_carry => wr_pntr_plus1_pf_carry, wr_rst_busy => wr_rst_busy, wrst_busy => wrst_busy ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block Smodsvllcvd6MuPfdHlFmvR8p+Pe7f/pUBu/EPfJ2zZ5ctuddGasm68DT7c1GLZh6gDWLRVWzeFo 7fcCmPmHOg== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block s2mDZJeKjJsKFE8Xp2XRbJCl6T2FNVLRNeAmU/UqqR05MWC75Dr4jE6br+1fqFRpw3qEraDZBccO 2KWWAdJBHQOh1fufTlMCJJJEIWl4RL3bkCRsGDbIquWw0kVLdFyOEx6Lt14PvUyTuHVmV8wLyqrH yrV4YPFXV6ypwrcRjr8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block x+7/agT4n/d9u1QQInxgxce2jZanNSpIonCHAMN9TwcrlJrdb8ZfXZRtPg5W5uDzAYwFlpOMaH7J K0bU2N1bJd5SulzzWFr2xmwWwHkajiQbUTVM/qR72fbwtXA37wmHeH5Tj2maA3ysmVCEOBf+PzRU Skp4HmB39p3hznf7ivb9O+sIfUNHxZBRzkiGh0ybjA8gVC3hy9NdrtQe0RHj+KDnauKeW/7F5h28 Wru9E7eo717pSBIWiXC0+XEYHLyZH8UN1U/iAvPNkpqEn4OvzptabgKAiRn6ijsrWWhVztYbGXt2 qOtTlmttFPVT2ywiD8/sG81mWcXtkBnjurP1Bw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block a+uyg/DogHrar2B20X0VgKpDYxx8u5tU3WA15lXV858Y9HTfE/D5Ryjp0R5g+o4hU/5agZ7PQugj +Mvi/rKN+IHrEnVKSjN5RJGFUfDKEXQdedEiVI1lKvTljh6/DbxkqYVn8yzilcIXSBDhoq5uXOcx Mwmzc2s6rW0NV5Q8EbxCcgTrGYzpifzEoYV0jTlScpaPkDqnEcq5FfdczU1m49BoU+M4J77FaKjN pv9iayEPhHjY2K5BE74HpvcRAZiQ5f6Gm3FLXXd/9cLd2FDmDBtno+HFPjWV03VK9Wa3oqggUaWc 2+IraP0j0iYXzF9j3MybI+65W/eukw9H5L3ICg== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block pIB9TJIKMKujbrZdwkCbRqImY/XmmtgVYJYP8sQJB8aidnWCgifLnFKwPxN8+uM6n92XDeuSl2uf spMy7uFl+uyL+JqlCjJUGfHM+H03Wu2cccoisOYpY+XRV9nieltHFTy8wDgpVV0w3KMf+UV1TZtt 4ztD5z48R4BbG/Ue0sk= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Vn0eykMtydiA29PmAvGfWqzU/OcR9L9ZRcvug6TYIDc7Wxv5/GyVdGrNdRktD0f9KubgBa0urkHZ OVAc1qpm7pKiLBUVlFacwXaioX9Q1FD1SAxilHWB5ltYgZegy2ez2lryio4r3lIYsEXOpFFCfoTj JjvYIAKkVicZbUdPFn9Cw7BgtAyIBox5+wMxN4Woz2ieR6XD0tXW5bIK6OUZiDKv6cMDmQ7o/QLx ki3QAGoSbICwuLgoE01RbtjZTocaCLZT+wrDC/IcJB+d70CbAiRE5s6cmmTsX/12AcCznkVRMaTv CR0SNb0Ps+0ZVYz9aKP8giXb5qLYBT0vftbPPg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Yt83c3DmqkpWc1KPkPbqmHqaLoT3qlzJzC6nkvkkrCh8yH/Ym2KZkrIxp3XDJeaAtDhQXBkh650y O3wUe60ck9zvA8HWGhS5BPgIw9rnangrhcvzCScfI0OfwQ6h5ZsgVFFGvkBnBgniaJ4N2G3Zujop aYKZKOok233c5nuk6znEO/qIaPnWVPy2jruPlSPfu+7OpnFaiOVBJx+VJC4YR2E6xdvjMTM4vPrQ /etKY/AYxfvM028Lxnt9Xc+CVCVOYyV5dT4unPuM89uabGBKMCLWKBA9mKxBmXNUT2MSjOds3Dut JQa6ypo8M2SEm2GGxI67ytaHq3pYFSh7UBopoA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block rWZCM2OLTdFeNt3/3w1nV8cDE8ru50QBdnwQU2vQ/RCdITRg6R67t+HHT+nMg7iJ9FgoAWWbslZP nNrhWQS1A/eoyQsI+cbuwUT7rIPRLBRpJIXKI5TnO0alZwYyePXXbSzmnbSbbxoRhXVgbY4MQ2gT 8KcbIZfsV8RKXGHsAbt8vPQSHgOXcZFD4+w2IU/VGk/KAnGsIVvTUcijNi7Q7vBbI8ceiHiKg55T nv14J6fhUXK2vndlaXvQ7Uoqcxdpu2PDWj9CiInYu5QBGzJWoMPwzfLfxB+Am5azcUDCf8FUy4IO oArsrBt5MXGK/KRLLr4vcSvW+yOxJzfrZPG8Mw== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block SP+xNpp1Ho2r2B0A7yOizrsTj3eBYEq/2auUnNB7Pjs4H7cFrz5pVVFE+c9sc68Oe7YL/0e2v/jK M9zSnmOQjteVTNuriozBDU8b7ZbRl2EIwBoHjxxr3APjuHMe7B00kUieij2E3nkqNJFL0VhqMYz8 1rSTpPERO5jBUCzhjyi1cdOHrQNzt2kVY0SgJDtNz6oN07397z0su0vaN0DNs6qAu5DF5mGIdPdP vD4c7qy0B0wcB0NQPx5Gxr+54OL3AKN3BsuWEOCrY2vztdCtXoep3lXDB3fw1rOXfb0ELNDv2CtF a8UzUmODOsTlTsU5nvL0uTLS58RWaxXYE14rnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block h1SXgh2AScrU7Wourx8nbeF1lEYP9z79abGnVTe+7MIyDK+wgHwvAa7eah1geSjDOT2eitp/gx7K kd31tqZZyHnDztDnadzSvtiaZWayatYb5YibSLttyuzCf+wLJVwF1dylVGUSZubD97HSFXfoAzBq 6WT+hYa2c9u1PKL80V0TNga+Pbm83GjwoffjlYpf0ROAf6E1bIXaRiJ3zNGUgwZw44nNpC0k723A v/S9bXbIXhgoonPxbVRdBsd6ej9m6Oc9ENBR7/46Ur9cBWabzRZ91/snyMNc4H//Ix90Sr3r1xzH QmkCey2knGEevIAtvIxeW7sdck3AFZcNQTZ46Jsw+t7ogUtgmM/lEQt3or7u6x7WWAznUPja15/Q iVKXIK4AfValR9TxiLSnxxbgX8thAMSbY2F5j1fhpATGvBffohrj/+MS/Gi4WvpVCiWWkSldfinA hcRNt/0QqWcfxg7xvqpOiMZY7iRju+e4U6bXQ4NtzNWuFW3JqdebLpJ8VMWprBl9WF7pq5/LHzrG uC49TpRodOf/+dWB5IV0Incaa5tXKyPefSy+E9Ctr380GUOiv1o4Dd8vmmuNc/Fx6GftLGXW3415 sFeXrohpaQvT9mC6RVYZeCc/9PxnkneZyTlJC1CxVLFf/EDclxo4EvhrgWsIgE36eMzjXCSLVDN4 4zufb3v6m/2GPLKEF665/QY4KidiM8tsDMdJfvfKoDOKZMEgwukse4EobK6p02FiXDwReSh2OHRN zoAzfs0/SlEqzicAtM9NItboH1dTndQaG/UAfi3AIN/x+kGQe5LruL4oizlOJGMzDaY7Lj73G0WC kHudzeKVheUIKl+68SAZEzDTNlwFxNbi0bP4G4pyPtRVfDIuDC18YsCkL3cskrtwxcGhdVPdIU5f BVFWPLhcRxin78U5XCZcW+5HhViJAvAdjM7XzIWFl4sDFrncNU+a9PMbqDe8MC9ex4W7vWl5jo5G VZSF9eKv724wQ3LZFe4Oj+dVLlxNNmelIlRGWA2lTZYW3s5+QUv5e2RwU4HLMPqf7C4pET7hsy+f uRm8Obyv7YAqLQDrISaht5bqRbrp0mNKw23odG/U3CkPU9mMsLfrtKiBmWd699nkWJs6h5td4WeF uKegkwCjPfCoGL2zPhDW4z0bW6ZlmVcUQiVDWeq865VmR4+Wegv1nq4Oo0hz9NSZBQk3yok1w2hD Lh95zFQthl+UHF+qHcu3wgjClTzDIheC/WueDKl1wEeLap8Ifzs3dsoezk+h1naR8Sm4TnSlDXNm R/zMR4iQGOVLOrWQkACVBv6OTxAGm6LK6SnR1rxWg5NEWGFCBonQ90w8ptfPvB6BccVOPkgFxD2F agu4KhGcbnrc+Llt7/fC7pSzIAjsxhcoFioiANoZpIdsluiCEPR1t+yqwOSWo33qnyNNnSsqAY9p taSnpus2EqkiE1JgUoMtVX1ydd7u5YDWI/DVyuqGT61B41T4uI/0/WabevY2NIz6mRLKBR92q0A9 qXwVrblEbQcTOtR02JaqVqfajUObJvXNAi74FsiVTOQK1pp5n8neYyMLbEtysqBlgSKNCWs3TPzJ jBNLZBuAoi49puFRXEyl93QrsbDyjdR7i+W8THAZCfsZGblsP+/BU1Y6yMhTge4avwWyFeWo3kcK sbABCJU8MKmlB9sRNzWihSlz2seVY0BOLwwA1mvQZRvIGeEjvPga61nbAZ05iKMGavrMF5GxmqSK fyMYRhxzstSoEwop98xu1M/57acuWqVYvrBwFYxUCj+xINPTiROgm7VlX4Gv1XNXR52w4+oFaV9u /tY05TqaenOXCYrX+VX0/Nnkw8/+sZQqpVdysr9pVxmVMMpB5HY646lzDzpLeK8TlbVXXA0JRAXI qxxgeB4WEPDWfAMEYumQYFyvebXhjbSd848H3N0QbG4G51qWGZUAkpzUwvSPOLrmPAjSYKpUhpA3 YzvI9UX8BFd9eO7ajQ0dpp6lQ4SCmfnmRZ6wchEKfm1ffTwXp8yPlDXNBAM+7zEJga+PmyWhLu47 D4Z1bBdbKjJUFT0eszFjFjkgQrchZEnCzbbWOt5PzF0VToLxfyJ43DaDxnJNQX5Z7a1Zta6VCgRE w2hg0LNYVZstiNbmgsabA6RerRc/HZeaisUOeoExqsHO5XJt7q57whVl5YkQRmIAqSY/ruR325YQ gD3Iar2XaRgbYZod158QYkLxOIHNC8tLhhnZmOa3dpk08BBBv+xAbrWgD68yrgFtErhnMlbfB1Nn eiXr9QW5bY/uqQDFW1aREruMttCIuLBzFV6Jk4uTAeWocRqYYE9YT9UFOUZ+m498G8zZz1/zPqMM gFpiIOgCE0BFqGc8LBxi9bmvsV67voUKRG7y7aoY0WKi4ASwghQ0gFj3IOcRvd5JdMwdVIsJyHrB lJ2nS4ObI3vn3UdHlbuXf7SeqolgXuq2v2k2iCHhrkv5/Vz17z1Zo/L1GknpFbtPbRU72Bmc1uwX IkVIy9s86X7GIqGrFT8bHPcpFfg+5t9EjdsSBYFUWu7knke+MPv9d2PfZT3u2vMTyLRccSrpe3qT 2O+W9nQVA/jyabpSLq2aWsiLgZkITm8/T14W1vBcqD4OyZZQ9LLVB9WNw7BDGkXQZsI3llTL21k1 pJmtfE7EE5/M06g2eZflBE+czW1e+sBq4eNrICM5ohfBKNq6b+ZSeV8mhQaTuS/AxWJ28fw7/HxJ rNUXmAEhSdbeSWf/Q4bEjblP7WHSf1yOBcoJz757DjnSLQbqu6KyRuvSMMeqWDzdi2S6pDSHfQ8A zPje0hdpKtNQuafWhCVXDv4ce/lpMcz0e+I455733qfvldJPIk1vnZeZTL4A85LWM/3yGAlK6YI5 x5NyX/JzG/mrqUOBjCFvJIDMiy+O3f23U3Id3jlyKvHQ3Cx8I6ZpQx/LSSF7sTLeSnhutHNvWLfj qewuWhziAddUruGLHoYV8MY3/m4sYyEFjRsO9H4kvsEtc7fApkj2ldBZzkgkWrNGJ6h3jWqlj4Dt hNA5J3R1oPEXJtt8Up/jo0mrhIZ4ndWogTQclBZNcLjufLRkfD7Mc6/8Gd6IziCvmewoSTtbPFSB NdjT+Q9rzh6hmt9ScphfggjAZNnb1Ofhi4W+gnqOg5hEklMlwUOQPjPt83jyxBxZJaM354qWgzqB 5mPelXamVL4Zw1wPq2tgis/5+BZPQ0rtUz1eO4c5HV76aaTSgniqEIWynOyDE2oMOsBKIUpLh8s1 YHGr3v6/WHKEI1bJmWHDucfRUTqSfSKqUWceRABU9T2mYUDnnIjDb+mEm/Cj/WT66O8YfmuUU7Yb Xt5nB1aZhEDkRjdIMAPUC8aVA4sgJaoD+reY/5iXlYXVb8ioQ7QXfc/LjjwMA1JLJbja7qeovZoO yNxHRCy/YjZuVLhxZKkNp9xzdS8nROku4pnd60bP1R02+uSI6haWjaU/Gl8vRnU9sxqlcMXnKzek rJLPtKzrKLl4Fz6Iv2bSz5tXDiSj0YfmCxE3V1GUXLXsXIjlhp01dsoiwXAoa9WuS34+QlLFrysS NTBPpYRrLPmWOYVK2nJgyCx9iGT0vgX7XIVEvZYe/s/Z/DuQRyxWDI041EdJhMZEKzLpOrLj7VXF CBW8ZEEAQpydhP4Do9Z29zxCsklAtBRdCiP/Vzg49QvRNNkbTeCgU+jY/hIc50msnosLlAAMU4w/ jviEB5piPfv1wDnJJivMLZ6u+mczRWyQNGNASY5Ab9fHbvd2x+TiicUw/fDnHXCkmFi3+nuqniBb sQhgpd0Fb3i++SndtM8nvkivUzFzwL+p3adCZzq69FRPk3MdkvVrOlppqbECYg2r33kcHm4gLT3x /BLPrG8RjhWTU74QHSfQ52U/QDmjbdK2kOKs4b2SQg5bMu9AWPnNIp+/F7jhLL89dr9V1LK1EQkE g6rI9Lj1CI7IrJGv//Hts/OR+sXRx1w7Xy5beg0ZkEz1GpZcjXzSACZa3eQ4gCW81wXolZTrwG51 1MIQ7ixdTPNtBT345J24iV8BmAQy/khqUyYd8HdG4eXErFpTnbq9o8vDOqy2Jpt0/0BG9QgX8vwn XDyEJJqIEmmZbBcZSLzmtIEihkulrxuqoFgKqvq0E9G9jQYG4KWJ9pbRiohXzCdLGGXfZbhGEBPI x4zuajy1U3YvzAr18uc66rOIguadh7MG+sgcyURAG2nv403f8LhZgb2oG17t0dVS3nQbxSeMDpnf uJ6F8AvV8giojZDuNsGloT3VfETYNW/aAWGt/yMoI0VHKmPeLU509IIqax9nrwPGGw4Ss3Yf6O+V pUEwksRdk7s0lnGfBGKRACELbZH4Mkv53fSEc8aN/EjC+cAQCEyDx9BTnFKUPWPaH8ReXeEbytDn Rw3T6v8UMe5XITaO5bi3j+b5CHNF8LgN2MqwrY2JZ71wL9p/hZecAa+1tZ2yEjmXdp22XUlFgZzM FLeH03HDZhO0eZkWFxqgJu8sNmZorFX0MUZ0/nYAaIm38O25I7CYg3+GsHrHKTqkrfuNy/4O/CL1 I+na9P2kQgaxMxJUU+QSUmVNA1gJCHngfXRNzoeZSFBY2DuVj/MlZJklmKttCIrPp7KUTqT8hwfn Xb94W34Vdg+SsuwjHfpSfIA0m9aXmR2dF/JRbnZjATiyVO4I6mnjGDHKIhIiEiVZBGyPShWp+pSP o7Xm6avumW1hx+96lePn82XGuiNmD2kReq5ku7ah9PNc8k+XN7nwTefE+pIEZWRo4cvpMEcA+sb4 WIHRpmvVguddJVdi++3WVpT0xT77sgstXxv/yGQPYXkQXf+/zLmFIF+NWO69345GlXr7+9nCUC91 SURNSBogKQ5pP6Pj14a+6wDc7Ab0Ao0+3ZUh71ZYP/ZASWwTk+lfCl83pXc0idbxt2yoV59tHT73 mn3TFcjsU8navBP1WqR+mlNnWbBDn94YS/8IA+3qkS1fpzIR54OnMVV7fJNLlc/JqQgJ/+trHNHs cz3acqpZKGhPRyVVavX58QU/cpkAlxfpV56/C9qW2lXhH7IAL6S1FkwPZhbKfxWkaB+en6HqzPWq lPmZXgH5lHEF7DCzNHU+XIU4/TATdCGSOl+SnF86/4t8oCr70RHKMMLQoEvitpmGEDnq34QzqPRJ 0P2Eacm8B/BG2xpNMMbWqJrm6YAKpFO2+nBA/FuCnY1ob9D8RnZWednEERhGdZoCUZ08Iz7lfVrt K74qSaECc10PYP4+j4PmfrFMxGZh9Y53EayhERfAmPEKXWdHWT8z9o2wGjhBnNO6//u3jV8yuVHB IANOWlfTglef7QwgKIc/b5Zu/oZQkiIthsM= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B_xpm_fifo_async is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 49 downto 0 ); full : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 49 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute CDC_SYNC_STAGES : integer; attribute CDC_SYNC_STAGES of axi_chip2chip_64B66B_xpm_fifo_async : entity is 3; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of axi_chip2chip_64B66B_xpm_fifo_async : entity is "0"; attribute ECC_MODE : string; attribute ECC_MODE of axi_chip2chip_64B66B_xpm_fifo_async : entity is "no_ecc"; attribute EN_ADV_FEATURE_ASYNC : string; attribute EN_ADV_FEATURE_ASYNC of axi_chip2chip_64B66B_xpm_fifo_async : entity is "16'b0000011100000111"; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of axi_chip2chip_64B66B_xpm_fifo_async : entity is "block"; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of axi_chip2chip_64B66B_xpm_fifo_async : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of axi_chip2chip_64B66B_xpm_fifo_async : entity is "xpm_fifo_async"; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 128; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute P_ECC_MODE : integer; attribute P_ECC_MODE of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute P_FIFO_MEMORY_TYPE : integer; attribute P_FIFO_MEMORY_TYPE of axi_chip2chip_64B66B_xpm_fifo_async : entity is 2; attribute P_READ_MODE : integer; attribute P_READ_MODE of axi_chip2chip_64B66B_xpm_fifo_async : entity is 1; attribute P_WAKEUP_TIME : integer; attribute P_WAKEUP_TIME of axi_chip2chip_64B66B_xpm_fifo_async : entity is 2; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 50; attribute READ_MODE : string; attribute READ_MODE of axi_chip2chip_64B66B_xpm_fifo_async : entity is "fwft"; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of axi_chip2chip_64B66B_xpm_fifo_async : entity is "0707"; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of axi_chip2chip_64B66B_xpm_fifo_async : entity is 0; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 50; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of axi_chip2chip_64B66B_xpm_fifo_async : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of axi_chip2chip_64B66B_xpm_fifo_async : entity is "TRUE"; attribute dont_touch : string; attribute dont_touch of axi_chip2chip_64B66B_xpm_fifo_async : entity is "true"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of axi_chip2chip_64B66B_xpm_fifo_async : entity is "true"; end axi_chip2chip_64B66B_xpm_fifo_async; architecture STRUCTURE of axi_chip2chip_64B66B_xpm_fifo_async is signal \\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; attribute CASCADE_HEIGHT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute DOUT_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0"; attribute ECC_MODE_integer : integer; attribute ECC_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_AF : string; attribute EN_AF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_OF : string; attribute EN_OF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PE : string; attribute EN_PE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PF : string; attribute EN_PF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_UF : string; attribute EN_UF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute FIFO_MEMORY_TYPE_integer : integer; attribute FIFO_MEMORY_TYPE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FIFO_READ_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 12800; attribute FIFO_WRITE_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FULL_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "soft"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PROG_EMPTY_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute PROG_FULL_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 128; attribute RD_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute RD_MODE : integer; attribute RD_MODE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute READ_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 50; attribute READ_MODE_integer : integer; attribute READ_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RELATED_CLOCKS of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute USE_ADV_FEATURES of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0707"; attribute VERSION : integer; attribute VERSION of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WAKEUP_TIME of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute WRITE_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 50; attribute WR_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 6; attribute XPM_MODULE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute invalid : integer; attribute invalid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute stage1_valid : integer; attribute stage1_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute stage2_valid : integer; attribute stage2_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; sbiterr <= \\; wr_ack <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gnuram_async_fifo.xpm_fifo_base_inst\: entity work.axi_chip2chip_64B66B_xpm_fifo_base port map ( almost_empty => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\, data_valid => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\, dbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\, din(49 downto 0) => din(49 downto 0), dout(49 downto 0) => dout(49 downto 0), empty => empty, full => full, full_n => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', overflow => overflow, prog_empty => prog_empty, prog_full => prog_full, rd_clk => rd_clk, rd_data_count(7 downto 0) => rd_data_count(7 downto 0), rd_en => rd_en, rd_rst_busy => rd_rst_busy, rst => rst, sbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\, sleep => sleep, underflow => underflow, wr_ack => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\, wr_clk => wr_clk, wr_data_count(7 downto 0) => wr_data_count(7 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 40 downto 0 ); full : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 40 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute CDC_SYNC_STAGES : integer; attribute CDC_SYNC_STAGES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 3; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "0"; attribute ECC_MODE : string; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "no_ecc"; attribute EN_ADV_FEATURE_ASYNC : string; attribute EN_ADV_FEATURE_ASYNC of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "16'b0000011100000111"; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "block"; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 512; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "xpm_fifo_async"; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 384; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute P_ECC_MODE : integer; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute P_FIFO_MEMORY_TYPE : integer; attribute P_FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 2; attribute P_READ_MODE : integer; attribute P_READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 1; attribute P_WAKEUP_TIME : integer; attribute P_WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 2; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 9; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 41; attribute READ_MODE : string; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "fwft"; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "0707"; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 0; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 41; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "TRUE"; attribute dont_touch : string; attribute dont_touch of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "true"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ : entity is "true"; end \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0\ is signal \\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; attribute CASCADE_HEIGHT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute DOUT_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0"; attribute ECC_MODE_integer : integer; attribute ECC_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_AF : string; attribute EN_AF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_OF : string; attribute EN_OF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PE : string; attribute EN_PE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PF : string; attribute EN_PF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_UF : string; attribute EN_UF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute FIFO_MEMORY_TYPE_integer : integer; attribute FIFO_MEMORY_TYPE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 512; attribute FIFO_READ_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 20992; attribute FIFO_WRITE_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 512; attribute FULL_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "soft"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 507; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 382; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 507; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PROG_EMPTY_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute PROG_FULL_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 384; attribute RD_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute RD_LATENCY : integer; attribute RD_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute RD_MODE : integer; attribute RD_MODE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute READ_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 41; attribute READ_MODE_integer : integer; attribute READ_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RELATED_CLOCKS of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute USE_ADV_FEATURES of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0707"; attribute VERSION : integer; attribute VERSION of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WAKEUP_TIME of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute WRITE_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 41; attribute WR_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 6; attribute XPM_MODULE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute invalid : integer; attribute invalid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute stage1_valid : integer; attribute stage1_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute stage2_valid : integer; attribute stage2_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; sbiterr <= \\; wr_ack <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gnuram_async_fifo.xpm_fifo_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_fifo_base__parameterized0\ port map ( almost_empty => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\, data_valid => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\, dbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\, din(40 downto 0) => din(40 downto 0), dout(40 downto 0) => dout(40 downto 0), empty => empty, full => full, full_n => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', overflow => overflow, prog_empty => prog_empty, prog_full => prog_full, rd_clk => rd_clk, rd_data_count(8 downto 0) => rd_data_count(8 downto 0), rd_en => rd_en, rd_rst_busy => rd_rst_busy, rst => rst, sbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\, sleep => sleep, underflow => underflow, wr_ack => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\, wr_clk => wr_clk, wr_data_count(8 downto 0) => wr_data_count(8 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 40 downto 0 ); full : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 40 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute CDC_SYNC_STAGES : integer; attribute CDC_SYNC_STAGES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 3; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "0"; attribute ECC_MODE : string; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "no_ecc"; attribute EN_ADV_FEATURE_ASYNC : string; attribute EN_ADV_FEATURE_ASYNC of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "16'b0000011100000111"; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "block"; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 512; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "xpm_fifo_async"; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 384; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute P_ECC_MODE : integer; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute P_FIFO_MEMORY_TYPE : integer; attribute P_FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 2; attribute P_READ_MODE : integer; attribute P_READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 1; attribute P_WAKEUP_TIME : integer; attribute P_WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 2; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 9; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 41; attribute READ_MODE : string; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "fwft"; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "0707"; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 0; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 41; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is 9; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "TRUE"; attribute dont_touch : string; attribute dont_touch of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "true"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ : entity is "true"; end \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized0__xdcDup__1\ is signal \\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; attribute CASCADE_HEIGHT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute DOUT_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0"; attribute ECC_MODE_integer : integer; attribute ECC_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_AF : string; attribute EN_AF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_OF : string; attribute EN_OF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PE : string; attribute EN_PE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PF : string; attribute EN_PF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_UF : string; attribute EN_UF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute FIFO_MEMORY_TYPE_integer : integer; attribute FIFO_MEMORY_TYPE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 512; attribute FIFO_READ_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 20992; attribute FIFO_WRITE_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 512; attribute FULL_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "soft"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 507; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 382; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 507; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PROG_EMPTY_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute PROG_FULL_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 384; attribute RD_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute RD_LATENCY : integer; attribute RD_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute RD_MODE : integer; attribute RD_MODE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute READ_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 41; attribute READ_MODE_integer : integer; attribute READ_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RELATED_CLOCKS of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute USE_ADV_FEATURES of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0707"; attribute VERSION : integer; attribute VERSION of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WAKEUP_TIME of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute WRITE_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 41; attribute WR_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 6; attribute XPM_MODULE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute invalid : integer; attribute invalid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute stage1_valid : integer; attribute stage1_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute stage2_valid : integer; attribute stage2_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; sbiterr <= \\; wr_ack <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gnuram_async_fifo.xpm_fifo_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_fifo_base__parameterized0__xdcDup__1\ port map ( almost_empty => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\, data_valid => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\, dbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\, din(40 downto 0) => din(40 downto 0), dout(40 downto 0) => dout(40 downto 0), empty => empty, full => full, full_n => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', overflow => overflow, prog_empty => prog_empty, prog_full => prog_full, rd_clk => rd_clk, rd_data_count(8 downto 0) => rd_data_count(8 downto 0), rd_en => rd_en, rd_rst_busy => rd_rst_busy, rst => rst, sbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\, sleep => sleep, underflow => underflow, wr_ack => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\, wr_clk => wr_clk, wr_data_count(8 downto 0) => wr_data_count(8 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); full : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute CDC_SYNC_STAGES : integer; attribute CDC_SYNC_STAGES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 3; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "0"; attribute ECC_MODE : string; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "no_ecc"; attribute EN_ADV_FEATURE_ASYNC : string; attribute EN_ADV_FEATURE_ASYNC of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "16'b0000011100000111"; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "distributed"; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "xpm_fifo_async"; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 128; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute P_ECC_MODE : integer; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute P_FIFO_MEMORY_TYPE : integer; attribute P_FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 1; attribute P_READ_MODE : integer; attribute P_READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 1; attribute P_WAKEUP_TIME : integer; attribute P_WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 2; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 8; attribute READ_MODE : string; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "fwft"; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "0707"; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 0; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 8; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "TRUE"; attribute dont_touch : string; attribute dont_touch of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "true"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ : entity is "true"; end \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_async__parameterized1\ is signal \\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; attribute CASCADE_HEIGHT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute DOUT_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0"; attribute ECC_MODE_integer : integer; attribute ECC_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_AF : string; attribute EN_AF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_OF : string; attribute EN_OF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PE : string; attribute EN_PE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PF : string; attribute EN_PF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_UF : string; attribute EN_UF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute FIFO_MEMORY_TYPE_integer : integer; attribute FIFO_MEMORY_TYPE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FIFO_READ_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2048; attribute FIFO_WRITE_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FULL_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "soft"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PROG_EMPTY_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute PROG_FULL_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 128; attribute RD_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute RD_MODE : integer; attribute RD_MODE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute READ_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute READ_MODE_integer : integer; attribute READ_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RELATED_CLOCKS of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute USE_ADV_FEATURES of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0707"; attribute VERSION : integer; attribute VERSION of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WAKEUP_TIME of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute WRITE_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute XPM_MODULE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute invalid : integer; attribute invalid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute stage1_valid : integer; attribute stage1_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute stage2_valid : integer; attribute stage2_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; sbiterr <= \\; wr_ack <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gnuram_async_fifo.xpm_fifo_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_fifo_base__parameterized1\ port map ( almost_empty => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\, data_valid => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\, dbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\, din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), empty => empty, full => full, full_n => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', overflow => overflow, prog_empty => prog_empty, prog_full => prog_full, rd_clk => rd_clk, rd_data_count(7 downto 0) => rd_data_count(7 downto 0), rd_en => rd_en, rd_rst_busy => rd_rst_busy, rst => rst, sbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\, sleep => sleep, underflow => underflow, wr_ack => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\, wr_clk => wr_clk, wr_data_count(7 downto 0) => wr_data_count(7 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ is port ( sleep : in STD_LOGIC; rst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_en : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 49 downto 0 ); full : out STD_LOGIC; prog_full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; rd_clk : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 49 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; data_valid : out STD_LOGIC; injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC ); attribute CASCADE_HEIGHT : integer; attribute CASCADE_HEIGHT of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute CDC_SYNC_STAGES : integer; attribute CDC_SYNC_STAGES of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 3; attribute DOUT_RESET_VALUE : string; attribute DOUT_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "0"; attribute ECC_MODE : string; attribute ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "no_ecc"; attribute EN_ADV_FEATURE_ASYNC : string; attribute EN_ADV_FEATURE_ASYNC of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "16'b0000011100000111"; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "block"; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute FIFO_WRITE_DEPTH : integer; attribute FIFO_WRITE_DEPTH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 256; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "xpm_fifo_async"; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 10; attribute PROG_FULL_THRESH : integer; attribute PROG_FULL_THRESH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 128; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute P_ECC_MODE : integer; attribute P_ECC_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute P_FIFO_MEMORY_TYPE : integer; attribute P_FIFO_MEMORY_TYPE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 2; attribute P_READ_MODE : integer; attribute P_READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 1; attribute P_WAKEUP_TIME : integer; attribute P_WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 2; attribute RD_DATA_COUNT_WIDTH : integer; attribute RD_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 8; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 50; attribute READ_MODE : string; attribute READ_MODE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "fwft"; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute USE_ADV_FEATURES : string; attribute USE_ADV_FEATURES of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "0707"; attribute WAKEUP_TIME : integer; attribute WAKEUP_TIME of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 0; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 50; attribute WR_DATA_COUNT_WIDTH : integer; attribute WR_DATA_COUNT_WIDTH of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is 8; attribute XPM_MODULE : string; attribute XPM_MODULE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "TRUE"; attribute dont_touch : string; attribute dont_touch of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "true"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ : entity is "true"; end \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\; architecture STRUCTURE of \axi_chip2chip_64B66B_xpm_fifo_async__xdcDup__1\ is signal \\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; attribute CASCADE_HEIGHT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute COMMON_CLOCK : integer; attribute COMMON_CLOCK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute DOUT_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0"; attribute ECC_MODE_integer : integer; attribute ECC_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute ENABLE_ECC : integer; attribute ENABLE_ECC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute EN_ADV_FEATURE : string; attribute EN_ADV_FEATURE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "16'b0000011100000111"; attribute EN_AE : string; attribute EN_AE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_AF : string; attribute EN_AF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_DVLD : string; attribute EN_DVLD of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_OF : string; attribute EN_OF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PE : string; attribute EN_PE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_PF : string; attribute EN_PF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_RDC : string; attribute EN_RDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_UF : string; attribute EN_UF of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute EN_WACK : string; attribute EN_WACK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute EN_WDC : string; attribute EN_WDC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute FG_EQ_ASYM_DOUT : string; attribute FG_EQ_ASYM_DOUT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b0"; attribute FIFO_MEMORY_TYPE_integer : integer; attribute FIFO_MEMORY_TYPE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute FIFO_READ_DEPTH : integer; attribute FIFO_READ_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FIFO_READ_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute FIFO_SIZE : integer; attribute FIFO_SIZE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 12800; attribute FIFO_WRITE_DEPTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 256; attribute FULL_RESET_VALUE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute FULL_RST_VAL : string; attribute FULL_RST_VAL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "1'b1"; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "soft"; attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PE_THRESH_MAX : integer; attribute PE_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 5; attribute PF_THRESH_ADJ : integer; attribute PF_THRESH_ADJ of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 126; attribute PF_THRESH_MAX : integer; attribute PF_THRESH_MAX of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 251; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute PROG_EMPTY_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 10; attribute PROG_FULL_THRESH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 128; attribute RD_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute RD_DC_WIDTH_EXT : integer; attribute RD_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute RD_LATENCY : integer; attribute RD_LATENCY of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute RD_MODE : integer; attribute RD_MODE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RD_PNTR_WIDTH : integer; attribute RD_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute READ_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 50; attribute READ_MODE_integer : integer; attribute READ_MODE_integer of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute READ_MODE_LL : integer; attribute READ_MODE_LL of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute RELATED_CLOCKS of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute REMOVE_WR_RD_PROT_LOGIC : integer; attribute REMOVE_WR_RD_PROT_LOGIC of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute SIM_ASSERT_CHK of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute USE_ADV_FEATURES of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "0707"; attribute VERSION : integer; attribute VERSION of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WAKEUP_TIME of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WIDTH_RATIO : integer; attribute WIDTH_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; attribute WRITE_DATA_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 50; attribute WR_DATA_COUNT_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_DC_WIDTH_EXT : integer; attribute WR_DC_WIDTH_EXT of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 9; attribute WR_DEPTH_LOG : integer; attribute WR_DEPTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_PNTR_WIDTH : integer; attribute WR_PNTR_WIDTH of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 8; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute WR_WIDTH_LOG : integer; attribute WR_WIDTH_LOG of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 6; attribute XPM_MODULE of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is "TRUE"; attribute both_stages_valid : integer; attribute both_stages_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 3; attribute invalid : integer; attribute invalid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 0; attribute stage1_valid : integer; attribute stage1_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 2; attribute stage2_valid : integer; attribute stage2_valid of \gnuram_async_fifo.xpm_fifo_base_inst\ : label is 1; begin almost_empty <= \\; almost_full <= \\; data_valid <= \\; dbiterr <= \\; sbiterr <= \\; wr_ack <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gnuram_async_fifo.xpm_fifo_base_inst\: entity work.\axi_chip2chip_64B66B_xpm_fifo_base__xdcDup__1\ port map ( almost_empty => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_almost_full_UNCONNECTED\, data_valid => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_data_valid_UNCONNECTED\, dbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_dbiterr_UNCONNECTED\, din(49 downto 0) => din(49 downto 0), dout(49 downto 0) => dout(49 downto 0), empty => empty, full => full, full_n => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_full_n_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', overflow => overflow, prog_empty => prog_empty, prog_full => prog_full, rd_clk => rd_clk, rd_data_count(7 downto 0) => rd_data_count(7 downto 0), rd_en => rd_en, rd_rst_busy => rd_rst_busy, rst => rst, sbiterr => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_sbiterr_UNCONNECTED\, sleep => sleep, underflow => underflow, wr_ack => \NLW_gnuram_async_fifo.xpm_fifo_base_inst_wr_ack_UNCONNECTED\, wr_clk => wr_clk, wr_data_count(7 downto 0) => wr_data_count(7 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block Smodsvllcvd6MuPfdHlFmvR8p+Pe7f/pUBu/EPfJ2zZ5ctuddGasm68DT7c1GLZh6gDWLRVWzeFo 7fcCmPmHOg== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block s2mDZJeKjJsKFE8Xp2XRbJCl6T2FNVLRNeAmU/UqqR05MWC75Dr4jE6br+1fqFRpw3qEraDZBccO 2KWWAdJBHQOh1fufTlMCJJJEIWl4RL3bkCRsGDbIquWw0kVLdFyOEx6Lt14PvUyTuHVmV8wLyqrH yrV4YPFXV6ypwrcRjr8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block x+7/agT4n/d9u1QQInxgxce2jZanNSpIonCHAMN9TwcrlJrdb8ZfXZRtPg5W5uDzAYwFlpOMaH7J K0bU2N1bJd5SulzzWFr2xmwWwHkajiQbUTVM/qR72fbwtXA37wmHeH5Tj2maA3ysmVCEOBf+PzRU Skp4HmB39p3hznf7ivb9O+sIfUNHxZBRzkiGh0ybjA8gVC3hy9NdrtQe0RHj+KDnauKeW/7F5h28 Wru9E7eo717pSBIWiXC0+XEYHLyZH8UN1U/iAvPNkpqEn4OvzptabgKAiRn6ijsrWWhVztYbGXt2 qOtTlmttFPVT2ywiD8/sG81mWcXtkBnjurP1Bw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block a+uyg/DogHrar2B20X0VgKpDYxx8u5tU3WA15lXV858Y9HTfE/D5Ryjp0R5g+o4hU/5agZ7PQugj +Mvi/rKN+IHrEnVKSjN5RJGFUfDKEXQdedEiVI1lKvTljh6/DbxkqYVn8yzilcIXSBDhoq5uXOcx Mwmzc2s6rW0NV5Q8EbxCcgTrGYzpifzEoYV0jTlScpaPkDqnEcq5FfdczU1m49BoU+M4J77FaKjN pv9iayEPhHjY2K5BE74HpvcRAZiQ5f6Gm3FLXXd/9cLd2FDmDBtno+HFPjWV03VK9Wa3oqggUaWc 2+IraP0j0iYXzF9j3MybI+65W/eukw9H5L3ICg== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block pIB9TJIKMKujbrZdwkCbRqImY/XmmtgVYJYP8sQJB8aidnWCgifLnFKwPxN8+uM6n92XDeuSl2uf spMy7uFl+uyL+JqlCjJUGfHM+H03Wu2cccoisOYpY+XRV9nieltHFTy8wDgpVV0w3KMf+UV1TZtt 4ztD5z48R4BbG/Ue0sk= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Vn0eykMtydiA29PmAvGfWqzU/OcR9L9ZRcvug6TYIDc7Wxv5/GyVdGrNdRktD0f9KubgBa0urkHZ OVAc1qpm7pKiLBUVlFacwXaioX9Q1FD1SAxilHWB5ltYgZegy2ez2lryio4r3lIYsEXOpFFCfoTj JjvYIAKkVicZbUdPFn9Cw7BgtAyIBox5+wMxN4Woz2ieR6XD0tXW5bIK6OUZiDKv6cMDmQ7o/QLx ki3QAGoSbICwuLgoE01RbtjZTocaCLZT+wrDC/IcJB+d70CbAiRE5s6cmmTsX/12AcCznkVRMaTv CR0SNb0Ps+0ZVYz9aKP8giXb5qLYBT0vftbPPg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Yt83c3DmqkpWc1KPkPbqmHqaLoT3qlzJzC6nkvkkrCh8yH/Ym2KZkrIxp3XDJeaAtDhQXBkh650y O3wUe60ck9zvA8HWGhS5BPgIw9rnangrhcvzCScfI0OfwQ6h5ZsgVFFGvkBnBgniaJ4N2G3Zujop aYKZKOok233c5nuk6znEO/qIaPnWVPy2jruPlSPfu+7OpnFaiOVBJx+VJC4YR2E6xdvjMTM4vPrQ /etKY/AYxfvM028Lxnt9Xc+CVCVOYyV5dT4unPuM89uabGBKMCLWKBA9mKxBmXNUT2MSjOds3Dut JQa6ypo8M2SEm2GGxI67ytaHq3pYFSh7UBopoA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block rWZCM2OLTdFeNt3/3w1nV8cDE8ru50QBdnwQU2vQ/RCdITRg6R67t+HHT+nMg7iJ9FgoAWWbslZP nNrhWQS1A/eoyQsI+cbuwUT7rIPRLBRpJIXKI5TnO0alZwYyePXXbSzmnbSbbxoRhXVgbY4MQ2gT 8KcbIZfsV8RKXGHsAbt8vPQSHgOXcZFD4+w2IU/VGk/KAnGsIVvTUcijNi7Q7vBbI8ceiHiKg55T nv14J6fhUXK2vndlaXvQ7Uoqcxdpu2PDWj9CiInYu5QBGzJWoMPwzfLfxB+Am5azcUDCf8FUy4IO oArsrBt5MXGK/KRLLr4vcSvW+yOxJzfrZPG8Mw== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block SP+xNpp1Ho2r2B0A7yOizrsTj3eBYEq/2auUnNB7Pjs4H7cFrz5pVVFE+c9sc68Oe7YL/0e2v/jK M9zSnmOQjteVTNuriozBDU8b7ZbRl2EIwBoHjxxr3APjuHMe7B00kUieij2E3nkqNJFL0VhqMYz8 1rSTpPERO5jBUCzhjyi1cdOHrQNzt2kVY0SgJDtNz6oN07397z0su0vaN0DNs6qAu5DF5mGIdPdP vD4c7qy0B0wcB0NQPx5Gxr+54OL3AKN3BsuWEOCrY2vztdCtXoep3lXDB3fw1rOXfb0ELNDv2CtF a8UzUmODOsTlTsU5nvL0uTLS58RWaxXYE14rnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 92992) `protect data_block h1SXgh2AScrU7Wourx8nbeF1lEYP9z79abGnVTe+7MIyDK+wgHwvAa7eah1geSjDOT2eitp/gx7K kd31tqZZyHnDztDnadzSvtiaZWayatYb5YibSLttyuzCf+wLJVwF1dylVGUSZubD97HSFXfoAzBq 6WT+hYa2c9u1PKL80V0TNga+Pbm83GjwoffjlYpfeFhVg2McOy75O6N1mykJxPA9Im6vRWW3KKD6 JMJr99T8dcgbYAUd0ckhlWF+DHgZMstXEiTfDUDGIpUFdIQFuZGI/oAOJf6wIlJwTVR98hP0z6jm VER3C/PoUV6PAssjwDgBMF8KOG221DptPdJCGMxOOYOfssPUuiCRaR9boh+57okqhKfTQHPCBjLM /pqga7iC/BvM81YJFlzU21uJRdbM6d6KxPTrRrqfM7q9n4w3mYuBvZNzxA8cp/K1FJ5oGWdOUUfd u9noTNWsicIKnQ1fr5//bBcQLKXCQODCN0PTK5iqUgWWfZlYPu6b6F9AhCN6T7RLoGKmS7pR31vb PZSwBOKrNHMZRX2WhIbJ/8icmerbNoqfhYHFIu5ihGaMIZWxb25Uz0TXqBkmesZPZG5OtIDvxZns DkdbWL451KE4TS5tAMVqb55yRQj46ZxE5NKakL+4Tzrv5wd8ziva8nOcLRQQvYKgQN4l67Bbwfzx N60U2EKAQOt0RknoMl/koe2kZ6qqi8fp9sw1dR0CtCW2tc7+Wq2QZV5sRBynDhsd2PwtBMhli1Im P7RENhbOOqMz87qi90KzMs4P8JC1pVIjbbNdMZrME0tRi+Hg8o0sSXxd1PfTnySlmwKFC5wV8Vsa FsKQX1LUkhoVFFjwmEmCuUuUJp34hsdM6Ectgm7qiENQ9dFtuUVKR5Rs+laBcvP1rfU4ImaLTbCw uQI6motr/vLbtbvZJJbotUEWtfBqh2pGWQSTYleapCH2qDc2437h/1YO1I9dCy4UGoQ28tAdWRkV tKnOt3N2kyqPf6n4KhtxLsFIPXVDI/urChCflWfksjmSsy/H5yEDXkZNJ/M/yLAHekg9NJZUsxJp 7mKbUpPavPUUkAL2dZdJhXX9FfMtPgCCqsCvUu01tkbqlx7nPpC9RLWxV8jCyj13bnIYHytP62/u dQT7ObOHBoZ9HBCm0znRVi6MZGTKiaz3DXOotCW7qtYOJlh0Vv84aHvF2m+GxjTz2sB0LY2EKytL vauuTG7gyahtQDe81sh37fLCnQG2Jpv47qypanNwCoe8e0E9ClixFsUk/y2rikemUN4Tm2QtQwer 7e1ue3NnhFtHKKT3WZoWL1hcCZdKyotBzjIZQes6tmm3vdczTdbbHyeXqcPhb4sXBGFJ9+/5GToS elEtKkkc03MO9/HKHmcJBYN4/hY4Ta7ZOztcvYDIhCNQluFsgYCtLaU985cqu8RiQ5nNd5nJyoLb bKdM6+gSEYYTijSPnJTCv3SL9N4rW4ezRH4RlPImDz7on2Z04/L6MSU1bj2qumVGgAKPMHHCOzzB vmx60KXTR2Ut31/Sctd49I8ZEzmPPSN5KodpqmQgrFiBMf8WOfAVWY6ZU09UIpd4T6uVZfa1xJ+p kLY5hBI8/rEgtJp2vpoIkW4oRgxdOu+nrDtpeTkRFGhKgHQ+xw1i8lsgivKRezUGzE9NHrGwjf7e u/p2LZPlZuFmO6XzzLQ1jNd/2jaquo3R3C+VdFE4ZDvGHguH6TzpP4bG5fekP23lrqSSrmUG36H4 HXLHw/Jw2nTXfjrrNeNR/fzYhuQFFs27lfzSSgb+7OYXQw+Qmg7pSgMbs4E67u2U/KXGnGPMtwPT 8QTq8nT8EYo71WSzjkhdWtmR1swnA8yIhkX0hrnXhEatCoU3E9N5OJehLXt9tCqtAewhI9nF49rw imKhtG2nzN0KJE9Zqyp+5fIaOqrpoqzUzsSQQewn97rXImWI5e/D6vIAxOx3E6UYq19Xc/fsgkQm NuuetXTSwKg90nFj0UIWmG2D5ZzNNimQxWpPGSp+aWsJfifCuiuRBF1UD+Ufd3FAE+wd9ZdHc0rU 0n2CIClTIqFR1kQTb0G6dP/9585zpuxakR+suUmIbpwqeSR6HSzhF7lbA1/ckhgADJNr3E06qyPg MTLq/4g9OJnau9sgXFdLOE7Mf8UgYKrtke9zajBaG+r8lzB9QEQgbAfsu/CP1fxWCdenI9rUAajt JjQKhdH8gq3OLHdFvGNLfPM3qFmpxT38KA0RdZpw5FZoWKgRY4A3wIjaRlNp/Y8YMai2Ih5i9N0y TFxy0+AMJjFiH02fuIixsZ8WzgaOvGDAOS6HoZmvooIfUCBxilNlRpZ8h7/T7SmgJX4qYmeJbfjG CbfmbSZ1FuHBB4TUku3cS7/OQXO+6xWc+rYYop802t/Np2tn8XKVCKKw7qqBBMxwyBb2pMdHbE32 f24wMyKTHI8SFYw5FEygtdcVgANVcfqHs3tyTDEQ6LN7CUfE252mxNjUg44xGLKAny4d2sQkddyN pPoR+pZJYD3n3TD8A/aLUMi56Q6usp2qfDc6Sh84JUy84Qb0hirIDGHrkgy6WGZwdLIjxq5MzKQo hUeQPd70lIEuSj3J3RZAC9MUZA5TyCGVjpf+LLlARCCCjTSbxmlcemQmiEFq6zaMaxSgOr41nbxo o/Ilj9rX8VDuXd5DGV77zE5QkcOSkETDJK8kKvxz1nSv4px2HThnzANzFsHq0cgj3fQr3vS6gOK6 HSqj5WdaDSV6r/K231FbSs01PB2Tid5nLBf+ylaO280JyzjDCPhCy11BnDWLUvnU7Rq5FNb95h6f 4rkJr+d0rQRcL2jjWD9BE+o+0fQICuxb44Stdj46ePy2BcY2kwyv7+hd6sIeAetFXTjfw1x2guUa A8MYLf4+kXUx0yrFIAC8IZI1yQql2sVcRH4UaOjQ4OM4gT+xC6ZFGIY71AoAVnrON2cyZ68nyUL+ WmaUKWdRBo3U5X9JNsSS/Vj/tBoccE8jUq8zRS4wB1L9SO5my4n/2APTA3MNEQCb4Em+zED6z1sn 1PqmNhyaD4vOH5WAQqjtXP9CEmxdDMDNhBGhEhe7kb4b5mqF5REhnWYawzt3hlesF8mTtfDki5h+ fInb5JxtlkkFmftYwgrs8kooRTppU8GHBGDe4JAbd7+978Cr1QumKp6gHRI8qa3d8+LmfJJm3+xv n3BEvdYhFwBc4tAolq/i0JHtOU/Poe2HQXtMpKm9Al1KXqJRLF/lFh+S+DRU7qN48AZKYeol7ZpK zklegggdO5+PpsULd7vBN0JmMBU4sgmNPopHj06I2s+aIbybF86UyhNRw2ISO+onza0muAMyoRGZ OGjok5wkQ4oANNfWLtcIzCsftziTf0Ru5sXhdeORgqzNQHUTPc3TgGcZO0H7aQSu3aZmMKkZzAiX 5pGxMt1axwUmJEH6IAC8BLUfLyvVZxv09nrGyshmlT2ySGFNwYVFszdMAmGzCYv4x2Q3JwJAmHLt 67weGeo8jBWEO4fX2xO1Uw9F+6BVoHWTMyuhcYIVj8+UkSq8Cky/zcM0wxgmqMxQfiIZOrBFNcB3 RDVRKWOyuyNOzIL5/lzsl4Gf7sn2n4oEJPGOU+VYtXGY8W2WN8v9F7Pkw6mKTGwPXrP8JRH0bnme 8Mvcx51odclZPT0O+JEm3c3UUDwpWJ76KLgd0OlNR7CUOcdpwhSx1KIZ++6sJqWAZMV/SDeG7ZRv mAIaGXWqeHYDYYMCB+NX5zrteOjve0GP8TRWhzuGaa+C3UDl85KoIAUAznUoht7bMm6P+NDJYaws aiLHK4JfL7gKvEK6pswiCEj896WFNPi0CT/bpB/GGnpYkhDvL7Kf86mUZ7xtsPrKfAphUOlpCvz9 uVEVeUcMkIMm8OcafGfD+3PCwT1yBAg6Z+dDxbkpJISAA73JAoBjO3UiqdM4JX2XsWszts1rk/Ej 4I7B0XKbYQ4cQ0PZAcQSbjbhdrR4crBBxZPqttjIa9Aaouj0RmB6XjROSqrezICTpS2Qv2j/Xg8B jKCsHpFhH+xPS8YMCczltvVW2sOat2qPyVMVfB+8LMPt+DheNpHo+Ug7pa6R3grZ+gNo/E9bVWnQ EZeveRiVwdXifZhTpCSFnj76tICHaYCvLjYGz2/sjn0llnzfV5IQFpp+WwwckIqWBPvI16HTm9al 5X+N6g/z4lo+Ntq+fl3iBmm51Iboqi10evo6mY+vCZNLku0Sav4v2BAlzgpNV4HYUrhnyT3nto8Y yYZnU3TWmLSGFPKvXGqKmlOOBC2ryJeB7pYCG9xtVWj6hbipYzeoe2QcwJ1VfYgLyyHEobXFoupq wfG07A0DTllXn3FWS8HTtGZV+18Qy/X2EaUqBL8pmDTIlt2ebAZjnN8TXtYS+G5jKJr1ik7KV8v8 R4wKL8QdZAtTwaHgy6amaTC1x/D8vF2zMpo4fLGD0YvXHkd8pxHJtuD8EjP7AqLVn8CTwNDjp6qr 3pFaXMekLXIyXzfbpQLihozXVqXmRY2kgjkcPzqNaZNyI0RV2SJdpoLv6AswU3H/Qdve6IPwZZhy Hw+OsgriD670y98ExjdBSgG3gYe59pOZE+Q+BdNmFr81GTws2msDap3ShGNWdNRLkui9I2L2e9ZX 9b0wtkHkq61KU8q1Fzt/OX6MmGvcykKHY88zGN66LvkFXy56O9tZCV/DbKmgMbgV+JJdbwfyLVOn bu/RzUiTWan4OzKOuNXHQlW/6duWC5BMK9uFunBPbK6+/kMvj4QnBRFmaE/3TgERMbSwKuchKNem 6QzWrn6MoDVXyOmu5o3uORY9q2WONWO+/Xlgh3OLX253yFYT31ehHGD0jMbBITT4EW2MsoOHxZGy E5T3unW20c8n9GLFVYJx47vcHmhPofuFzdYTq+7lAoohzlFu/ih+YY4CAcRqyPCIJaM2m8uWLQUm 6DgLCdL/M4lGhe5aiWpAwyjiVQ4bvCO5DPHOUzCIntfrZzJaJUiFim6Axq9PBqBeMXQRrbIkp8Ta O6iO8Yj+kE5OjQSFBQ/9F/PWzl3qHK15JPlcN0kcxNzgAEpvx+XPBs3dXZsDR++0TBMQWbMY0jMx A/DSkSi2daGaDMeVehLbV9a+tRAAO1FCQ52Y285QBBRAAQ49uysNjLFEvJvjc020+bXuVWdYbOSZ 7DPOq8Uuem7wmMuAjXXIpvH1GWEGnRUBhl9aaPFvO7JL2Sd/J7nLfR6e6RyjUY1lIOG9fLd5Hdkl lqe1bzNJQPHjly9Fd+SERP0fOwsX9dRYrPW8PjWIkqSYeFo3ofEH4o+LAjFZPx4Hl/R3ZOY+w8Nd Hezr9S9VlYl1Dwmo+sRc7ted+nIAFK90MJm/LOWoxTjXiJSudaAKcSBzinYB3Qor4Du2fTrmxse+ ud/n1LkUhnZVPOruOp3QtlgnVNJtINaxi00O4Mcn44xzLIaIq6KRbAoNBM/VyVXjtc8JONc2UF7M cD5Gtnu3+M6mj2F8s65pdDLsmHGI1NZxWaliT4Qsu0psKWId4JO+TqBUWfTKQIseNK7FmgMcK9dk waMJGvcpG48JVCFgsn+Ca5VVCy9SZ97UbcgdZvKKk9YUo6o22l1H+0dZH5EzwoBShLO1w3h+pUF2 yp2HTrvrjxToZKhYthTOeONC5skwhxTIQEfM6YW2vRjg8F90wX/Q0bDxHiSpk10NBZFoIvYTyOUF R0GPSsqpNlo2USn4PG+XIMUTrBViuRNxnyzVZaQA41BTpZYV8auMIGsS/C2aklfqgQoImPNTh4UO +pyz+n+7qNqjsDuFTbolQ4fur7/wWYwZ7wngP0ISmCgxSnkyzLAdG3fg3artUj+DAMFLSKq3Zjh5 03tmJc9oaQUYkSp8RSJ4pPMK+EeotUKw+Hc/ocvuRZN+fAio71u9k9cThvNDJM8ZMPvqioeUPJYc PY4Z2knY8CLwF9AogNtqNm5iX8VKTniq0AZ/HKHNHGZK3+jPLVcfezbsADhcjUBmK0EbjHl2YFIc v/j95rtGEKeL3ZJXIH2BezfjnMKt8XNusmhYJ+lGofLEivcx9Zw/RD3/lVwiopEPX7NlAaO8mp11 TxYGRLMvBNOoatWs0UkxQAjf+X5W5tHXuPmNOup688kAlZ8vZCEsOyAEuHMSR5+nDJcOmZy68nYE nX/iJmPz7wcmKJm3kGRBiDuB647Eb0LSS2JvVQnwVWYvF9esdTnonrUHLlzeWYhuYB95LHOkuZ8+ UVCTtIqJt4rl92/uCcgp/6bMILz4/xLEXsTQoBKL1PKCYBVeGZRxHxBcZbE1f+Zt1s8EnFlMZm+P HpJfKwoJHPiSzjLtRmyjiubkzfXmB3XFrVdKqH3BjvRE0u3F/iYtfyjAWuG7gJqilZxa9Jco2Q4G ipYWm9b8WrdVsawjf5xHNWSUnKx9CVA5TmcTJWqqJj6ejABEcZpN1/SplrE5eu/Q9Jo06FAR/Mce YduDnBCRZiDzz6d9xsJeEeagT5dRgfz9/KSXS+ntcf0WP+hh2zghTXFeFjXF1tO4Q7EZ12XVW12G ingcFOe+HKMeFdwLUWob1wkW7HMvCBwi3G3NXwcARU1o4B8FRTWoK9OR0valzXsj61P8H+AsIb7u r5HekDruzevY/pXj9nijv6Q7cYF6pNkGxrSdvdyT/dJpQDmfjkf5vDeezBv0a7f4xa8KaEb0i1fA YHtAswM1MtP4nu2uc+8SYdoEeW+Rqe4kiXwHmWE2iHBh6DtMvcuOPWFP/cvF2F+JwNhl81jRfTRt 6OZxryARuWqd6YIOW8nD92fjspZrzJYDe0BKtEmosxJmf202NTibbARdbX17IoDXecdIEDTF2jkV njprNcWuMe059OUW6rDJoewNyOBOha0YjLzulzw5nOjlroC2DIGnTMKLOo9QZBOVpitcQKlO8FZP EMwNRUWM/f9ricixQY3dXUzF5rrOz/MWsv2CFK/xuFRJoD4WTzXqWiTxjbsWK/xkoFu5j3EP5amc QzcoV7ff1BEYzoLaxoC+REGeKF78pClJnjGtm/J+MzfKUtmVonK/yskjLkguzfke79GQNL1jPDUp 2RfMQ4WL4l3TAxi8Xs0vs8pxN6qZQIB9yC4Q+uwPqYzRg2O75OTfk6vc83w+nKEE+iKVBrb2Ovfw vNr10eUn/Qk/D5zJYdGbgcUavOg3bZDEXKBM94zcmr8e2uIExCkuTYkdPsk7lpaxU8sNrU261/2J Aza0WbgxnqNjO6S3i5o8TqBIH5DJ4J2DjdTnV3rZ9Fzk4X38MsqgWtF5F+qtTIv6d+dZwV3WnaOH dQHpv616j6eSRh3ps6c2yL9WNAYRU+1RhwwhgwsJxPJHKUln//zyQTwl7ugZcs0T2LKAVHhYeFCr d2eqHo0yh6jEyXEV2+gw6fTy36aOWESJDOCpHgZArxSih8MFkgbGE98sRihXDdR0YPnkUCVzqd4w d5A8LA9fYkqYDrX16OSLV+NC0Y/6cSD8cG6YEtk/wuKZgBwaAIM353SWuc0TRPrdmN16cYA/Z+s1 Bjhg3mvpT3QZjIjPyN+nzvTq/VomYLn3201g5d7Et1yeyNNwdCFbka/tyHyBqpvZWgN/MX7b3jqn wxzVAL6dkmVzGvMpMPJHrsI2Km1gWKA3FCh/pxhAS5DHF4PEk5A/RDECjbpPiwRWRGookYU9/qe2 jHSfSwn91FYJbOHhOFITlRb9Ve6523gJYM8buo9340zzkginlBfETmdzhuTiRJPrHfKUUYxx1Oug tHNfEmpeKZOkRqmMI+O3QBUwLVYfGemCMuzVabi8Hx9E8F82BLIfM9s76qoJDCbU+kpCgcXS24LS i3bPxVPhdZ31aQ1mGKpRM2F3w3UWQHWshpWCUqrAshsSHlYXRWn7guATW+VaEE4PFIJ/jYrIPrXQ K0vX7F53HiW75gAvYFO34TszAyzZawzBnwWo5c0YzvdHTspLBZIPCvRAO7il1V3Fg4agZaZ/SFkQ ESkKoEOD2Y8mHNaMtL7GrNQLWvTkkodloGfmgUEDRlQfGsvguKvWPXt71nZFWiFBC3a68rQvwSYs j64KyeScLo0W6sijKitM02PIrDx9lqTwCbVFD4Y6Pj+2SF+oiLkQTfz8Gehj7wC9t2GHIqeXLyIH M10rzgcpRiFlTJLy0RY7zNWiaO5ffprPtC17eGIXCgYOn1pNPC7thzaGhVpxVQerIKAWXnduLzeZ +t8DpPJdhHZf04mluOA8vwNdC12rHAmapX95TqZg+TdEL5SfmbEUO4EDigTPT+kjBCARDC8JiBRv dDqTpv8DOtSGwIU3wJ5V1cCF+F4bECze9edSbWpBBxprZ19xB7oU2NO41dNm+368bYIVdFBWhtQy KfxeGAJowrUwQo70mxEAFqugEs9G27i9eVp/2+lH8qDsB02O+Ud+ls7X0mSDH00WtyZLNApk7eye mteAP2bhcsXPD6bs6f3lgSgLL0t7sZjYSEtsaMj69XKmX8vQQfblpTOFfrHnyfRGhAOrR9t+u9IU OdUdaohSM1wUEqFRKBUe0x/4yb8D4toYt/goeHclec6WXegZ74m46xd+CcwZMC+9XoeBqnno79ah ghOFjexkjquWjFYtDMD5BN7X2fS6SXqjw4tKM4YI2GBMGP0FX1/ZLArsq8xclrTRUq3IJLG4KSAD xKncXsd4bF/xl+qSfIY2FPjlFD0RIxtlnoIYVe0Y4nwhWFTRuM+iuW9sLUuh5seEynMjYZ4T7Vh8 OrxbFvKukkpeclfHXhqvndGGVktUth2Y2gJntfVYTN8Sm7LAyeN/p0JLjO66BERnCzrjsq6W0KwU jY4V5bJT04QUGImKFSOnsANPMpQ3h6277swHFOJfq+hZWisHGipkxTG5XSDmfmwtHBvo28qr7naZ sZ5x+Yh6QgH6081mtXYegb8R5oxfgKocrX92LP5SC15bGUFNCZEnGkYlfgdM+kaZIu+93R1oBjDh 5nQVD2CrPNmaKy8v6sWZR75FWaOjAo5qrl6Wy8f0XQ5ckN+oYEk9Y7kNQDpoF69YPAbsjI5SbthU m7Zz1/gU1ETqa5GK02sKR0YGhklI5gVz4LYRejsXCWA/7IAm545Ngm+ch1JbqP446a3MqskuBD00 MZrJBaSoWNmOXScsiSOAeRR6jAhT8P9+KrKufRIdpTK9KNrcDFG1xHBQYjVnuwZE3gwsZ98uSpzn E3EhW4CAo033yQdZxodYU/+ZEtD1lgNMss6/YRnENkTgLWpSzca3AzaLbmRS9wXGLrz95o1ULhEM XvSF9UbtIAkrX1/3VxmBvoE+QclwObWutsU3XJlFD6OsJl+/Puxhyh2nKhs4BbPQN9X0oS6xGbsL AvStM6ZTfqGVCJE7H9p+N2/8nrG1Nx7JGyTC33M5RlkDnuzQvP9i6WHYeIyy8b/bzBh3hDU2xRZH miZrgUvyhttHaWLeHaq96iEXI8wS1PH7M5R2JDDSy9RihGZ3/FMfnUrV1JShS02WKRlJU79V9P1z 2nEsNYMYU395O1SU4jG7veUKZfCkoir2rXB3DKxW+0UAk187KDP9eUlv10+y/KQWWk5IwR+vnb5c m7YkfgpmAEwohYUi5A1Bj6NHDiIX4CIpwbRQ7LeBm5Dyr0A1rzcwB7CGrBY4mR3ALw4IPeUzJzrz NAGDL19CSNLxogO19n47nopSCHkeDdJoMC5YehoUWC6hWefo8lNRRpupoYLm63F0LmDJEuJdlm5u h12l/L35g573c/OSpFyXWsVB3dBcqhiHs9ZDtyMMhHhfbQiK/OfCcn/lQF6RbLtIqSTKrGNqDMD+ xF4Qvd4kVCz52aMasH9cFNMWRCk00WEKwAjVEqgHsGw15ciHR1QViGjp+b162AFYuGUujy5PVzvN ZHVREYO/XHqg0/Vs1BW+yHdyap/b/OBQyzrjE083Ec2CNVCvEs/x1rQ/uQCk6NLK5kM0MyCUERFS JdVTNkKl1SE71ZQk8L6Vii3VRCWlOATjMMif2cC+kfb5axtX2Hj/yY1yTuezS8BPfX4Nb4MYFnUJ 1NcNla0r/r8AbGoms+j/bt0KTR6sDy9W/mFs95X+KmNsFX57Bsm8RkIzkY1voHuSRYDThj2mmMPl D6mvCAqGq/X45kb08Xy1IZFQL7phU2v2oY4lbh1NGR9Izc3QdiYyUmFDTcfkrVOW0QqUXeYQZ19F CImeIKxzvee1fGwZ0onICut+fqqDmzPI+oFjzXdYASNyA4dbNet/5PvXYAWi2rhglI2EJyGWCBt4 a+OcuqdA3j6jKqU7V+rqtBGVTivmp1oCyk/s74jBtqKA6EWyLwQJQ6jytPoVHxX6tcRmw2bCelV4 41dAwYIWmBaQ34WDQZXlheB58ccygbCvjDrjWElbey4kCqoET0IzxHG+nIVNF9ax1rZGz3oN/81p 0nOHiG2OE3ZjHQprYhKynxDB2VKbCjHVcZwESvz9D3iKH7CaHiS1f3K0X1UvFO7hGEgTd58yp0zl BikySMxO/t0Qy8BGq++Xc53s57HhXWwfQIjb1sRs3LeXQ2puTKV9XDhEBEAYho97bNTQBk9FfbP5 fSbhF64c4rCWHo1CVZGuKb4SgfDKmEopNN11xHxSaHaIU5Y6ukVBnW96GlWo7QCXgmVCANF/Nx2c ngDm8k5aPtsR6bor3jlQIloXva1cRyIDCenQFz35/OeiL/hBCORZP8tNrBhVpXbajlWcw/YOoRoV vJ4DtgJ4wz8k8UnFoCtQoYRM9DzryrazBpm6jmmqocOWxCL9nRofDRkFWHaw+ksOGuiEwvvn1IMX CV+Mlrh+yfe9Ag0ajCThY654Do5Ko0sCE4AFqgmv+FKlWjF6DEvKAOrZd6x662NF4T+rBrp8Idqh o2MGt4+PIH2kDD9+xL3LM/k8AK+c2Rx62WQkyePUUMSJqA34xLA9sVqqurx4yY/i1j3TrmCdxZCa ThkoytgcDaaQ8QOFPXpOdTntX6HanaTKv6RFOVKqCFUenxmK5HbTsZ5GWUFUJIvrhvuOp7+nzvLd lSz/GgYPwP4wiOHNostUDfMGbz2GEe7uSJuQD54E1xOgeKQukJ19YDORFJYAcqcLGwYHVwqqBC1n BmAq1ECu6VlqDYRI0elrPsY6RMCB81ECIdzZQORxK5ZFTP6qJ3BETjLtZQw3X8AqL3q2UNK/kMr1 KegCEb9jZLm08McmW2bTfVY9lvSArJc5W748RQ2tT+KFlXOqU+zGZIsR9qRmYYOZ8Je4YbUefhgY uJmpVe/Fb5nMFJFP9iOpquQYN/Os+IyIw2Y2+TzVc93eM0agCtP+DwYAqZ8px98SDeeWqFWdg41L HPkWAScM4fwmRved9xdcUbIVIv23MzGjbwSAZtxvjdKAOts0LgTVm9ZKNF9Rw8kmOY9knhuuyKMg +ZlYrMoXpEX3qoK5WT0N8jFLEomXjoq77E0+dQGGF4Jja3InYCAyBeCZDv95LmUknBXEtBvMcvCr fQnw26WPQ1Q4lMpyTu/J15E2J682NqxdD9wU5sBNTrAK++4PgwQNkzgYrcwvz68RxQdg3z2b/Gpy i/LaM50CObFZNZ7oPUCaXXlCt4aA4W61hh4NkqYxY/iB+QloiFSGf6eWtyeNdrZpxcBrd/bTLkiE ChCflxHKGZ8l+mwWBiBFB6Q/TvQH8oThrZGEoFci00DiWsYRgqhQet2F7K1qQuqDJd++NOcJyMjG kl/eemBD9PPa7sxP++7wqJQGl1qHhEnW8izg0wmDLp2Yt4EzIcNaBc8ykoElfAEjLPjnmP+DyPvh srC4hpm+EGvkb3O9YDsHV7c71C02wFoNprXI5RJwIbcq8V6N+GNOYPp73XeXMtWCm4CJMzmF/Cn4 bz+9lAIVF9EiEmeu8bZ3+zujvJqJE2ZhliSnXqUANVylSHclBRIpubKvq545OkRNtFGZbfmBYwsd O6mr22CAzPAXo4PVG6x95uJnyXB5/bzhR5NNbUhIaapjVbqiCq9pDK/AkExF5S0ZwN3Mv/CuKWnH LBoDHSuaVPGe0E9e/J2rgtevJ49S2TD7P5R5HVW9mY8Hb78NGaDSi+6Cm2PiNknQuguoY7eNETl3 6ymq4Yw/bfYULMvk8uQwDIW2RcK6Pc8VxBYSIUWcofa9RakwBLI24cJxr8SNn7CCd4BySrgrPvVB lqMgZtutQY/cFILBmEIskCMzKck39+axXDX4JE4QUVxlEGRUThYwOSLFW1P0uH685CJeK3MSOUIO AmtP46JfiUuQfKJZ0ndYkLt3wp6D71QM3O9jUdHsxOw2G29szmAdTspJ+aDZLC3VngkjvKHthThc R3qgxXjl3DybP7PhdXUVItAIU6D8FnIsdzn8s2itrxLLmdCxU/iS6RnQI4alhGhcBrWHThZcgAIv UmENeLX0oIl9AXRFsjmsTBKhP3CEStTeHimjw98BvwMhLV4f5z2neQLIC058q/6+/A4Mjn24lw7o lRQ0uhgAUo0kTy8aOwziGsY/da6IoEYhgVC0GO/5rXvg9aVBMVEc+/9sm6myfG257KW3nLMcjECL DqOkA9KNUo2aGigrwjaxc2/piKpED1ycvg7FHDFaXdsxrGarO1u3Z8/43EvZvDDGrEgtc9tN4TqX wp/YVUp/paDHuM4YMVpHq0W1b4BgXpZeZ0bXHMiKjz+EPft7/hmTxjRiekt2IHjIyf2m/By6nftt mnjDrbBPbZclCBkQZVvGbUQ7xsA0QwmpH0bX7vNwhuhpjSbitv0g22ckpUBb+KLWeYeVftB9canW EvBsxpPsTbVfuvwsywC+J9l4eKNl2ALIPFUukezqSv4AwVVVc06PIOwqSAGwO5GmQubLKwQPpSFw Nol4HfdwQzTzQj9XPwXiwSTl0f296wtCFBBpqQ8h9WUXDw/zZRFyO3c/lOufu5H2I7AlekmUCttI Sox2qAC2FiNrjVBvCC/BOdhVED2A9G8b8LOE4RxFoEN4iUF2O+kltsQFOMuZQbOCZfVdQ3zdtbQq mgisi+FqVTSD7/mmG1KOImcJpFmhaKTJtBCZXnVL7/zATj7NrDx3pv1F3L0f8t56jVU0E5x5TlJB L/2bq4A83kOOK+p2nnvw/vocnF01loOwr1RpFfh//wifBoIl9S5OPVE45tpTg9GuP0BqatZVh7IV YNQjH2B2/k1g1h9fYHMLxUHSMfB8ZnIKSTtLj6XV33TDgMiRiEcjuYTriy7ApcuLaQpb3a/DKv0M zBYY8eRZDZ0mecbmd8Ugnd2X4r5+BagfIacKdaqgVhuThKlgp6D/Q6IdHBTLS0VeOhLvM6bqgZ4h 3bWeZHsnU2Lmwnr8CODRrG8ElJnccI93V12vrdf2AnEwngUS3KaaCDG0VvSANHxxG+NKTe7UtmYs fCACgTW9gMO5dFJkI2Ue8Unp4FWaL3XLfSZokgmhKcjJNEWg65nsD57Z8oWLJwc3wcJgLB6dN2Rg IcBZSpgN0X//DJZG5BOZ3Gccaqf7Nx+83eMcD3uaTEqaRP6h39ApmuNfojOh7uCSzoIv/vYbWzO1 7sFhiCH16PCMUccmHAX1denFeGxVMrh4BVTZ4Bkmhnw2+srchwHn5sTEZHL12C5GCYKBjVqL2VfK wR8ATH1s0pxiEYSw45h53loLNoBQSgHVWkiJGkuLpyF62a5Z1rjKL+VJIImdwZgZJEf4DVCnt28K vP8+tsOtSTPLHgjMmlSTZF3LeXdE1NVDNVaTZBksAU4ujkjR9HUHRmwPyOt7w5gRXa3Ir3ZTaZB4 RsghHA3Q21jlDNtaMC/PjFiC+YqJmSo5QvH/FUT/Sg33L2h12obP65Jjct6ZnePzY9gHPHXOFKSp dfvpMBKiId2yGN61vNL4sPU4lDhQ+QcwvsGMgVQkv4zyGG+cIeAmiyZisa4ufhT0F+QAAuQDvr6S 4CWXIIedwLQXgwF8PP6R5DBfPh6+BFaWF9TDms+vQwi/EoNoi52Bd9jmxiK8NC9+N6qabO2jHMkD Yp2yB3oR+HlRNS9z12p3Ghx0F+qCZQlf/oTP1a8DsqloviPT9YbyV2jWxR07X/ZMxOTevWeeuKcX FnKGU06u/cm7S5Gsagi2upVYqUeATzFbEBov0c5jmKPJEokb+kK2Ap4mBCj4cF7yvmP4FouS4a/S WkYFeqDuIDyJJl71GohOTzDB8ayz2xq0B+OuuSudPpRvkc9Ead8mGJ/wAjp7bT9gdPeXMhoytBeC D98mAqLkNBMhqTOZ2PAudP3leCPmS3VcCJfVTSuKu+8gnBBsH2rOE+Etahg8vk36mO7QCw/dN8YN z6P1t66LaAHKVyfc/MdPUCo75frqqwQDZskTZ6H1K8bUUpJY5TNwNTLWMlsw4en3ZgzX/z1VbQjN PXLFJPbe9DjV6cgGwL8qDLZC6ZieutJqVQNSzdx6aKekSsl+ubJ94P6xBI/GCnkPgSvluSF5X/US 0M+w4IDGzhUME0CLROOrqNTGRWIEHqX9wsegAM1xwt/8ZCvU+lyNxFSDlxIq2ciGrpfvc5nUiLLf 04QSCS8m45E0OKTUW/t57h281AKSAJvqI7UMNgjlzXOdMqfqELFCC+YPNiiiMSV2M5AE89VNkY3T XdidMaW2I2BqwrtTLmkYMrJt4IVYkg2EpO1XFqiQDFi/DNpDpFls20ofNPm80WXpBvnpvNPgRry8 Kb7y/pMoFmtfI++xqrtjr2qB1L2Nf1wQFhHWRNB57dJTuErpCdgCr8ZYtstR4okGpJ21l23Tw4DO gk9NoLvQvGTRv0LMUMXtN1G66JA5+HrBx8AvAk/h/DG22QZOskiI+w/UMTgZprSRX4wmHpGc/Nwa BFu04qssD9lhHNIy2UpleV8JYOX42S7fL33JRTQ4Trwpedm+V9xv7MqzHRSfYXt5/ZlscNiUUWYO 0yO4S09zIXFVhd/Vm8DOlIIZh5WhymGbdGTa/yyszZFZ3IpOlG27RWs7r7DoywchNvE88ir+Lxpu TZu6H2XNqHV5/3ZglX99IG/00IfxqcHW1aQlP14q9Y8d6aHpMtqkQTc+c7kIfM/xjBWIiwNaYpYP GPDdLGq5bjzLjTp6EvKQVFlWEarXKzuckis+zeBcSxbXJ8kaTWKcCSePuxQVZBDol5AryMLnKypz jCLHbNyjWW0ob+p9mhk6vaQPi0YY7iMvguqwuMa5fOybzRDRf8kIFBa6DzXNqrAkaj5cQRXt1NC9 Ua9bpCWvozq5K9MnL/vRjQdNYprFRUND94e8HSFvsLcdtV0XeOPJgK0AMJOV9Ji5XV0C5e9fB1ex onlhhWABnYJZHscqv8oTZwNkKwbK//ieLkCXEtNo31D7ohSjlqjybirZGNspSF6qnbAAj0esk6z3 v8yr4Z1Nj9F0TjsHIVJ7HjX2Z2jiW7So8vV+RBfs4DsNLznQcd+sEpMP+gltXW1gv+jPcU2d3cwk pSfemYZN5qoabTd1sc7+9F3pLaNFCKADgJsB/RL0N4gEx5KFcUzhPR4j7rRbjpyfKyf+DEI49ay8 9oIDbYBSgFoxvwnbK/Z1SQAufuJMWt0x8NhvoRLCAoG78JMAuhwkqGHHvrSCnvmajH9xzrij8v/p wEJTGe7GpQznkpaSYg0gsWRTnnu/u9aqywVjVcrZE3FzOjrEholZ6PwzUP5/NleqP0XhY+W9622a r5DKqNjHmE50AOzj6FQYLqOwvCcuKUd9FRmcNng8D2Dk77CD8u7M7Njv6NIj5ceCImI6pKuHENxX 2HXHV5CYXimZcoRcExhvv9FKNLV4L/eksxSwvNmnVzs5CYsHsWp+jYtKTyFJW63HG4886yzYz/Xb a2T1IYSNhDMYRM8gtPlTjhTQ6H/Ncw/tDl+pHe/3ieWSrzNE9qsW+gwWehaOXaEyIfHIDxqD35lZ Sx+mDYplTFevZ4HgFpCEkcZgwrf61TtkpKPPilTBsOBwvP33yKLhNJbBBmhXNglmKy1ajHoSnaY6 jwDPjvXFOmrmZ7aTVSIAABX348eMSa/jTPXc+viBbNLP9a6Xe9Acmh5o1boUII0TQk5lRB6KFaHG x0Aqa816fYda12UAmZM+xlrO/ieJAg3I6DdpzPNn6LSQ2EyZ+BpbYwNm4Fu1h4CFm2ma+xXjVG4a /ahw+PiO1s0qNTUwg0Lli9IhWEU9cV4AM859T9VWMeRuKOmVwG/n7G9i2unnnmFSTQdlqY63gtDZ 9chu9Uf0STpgINyczrsIYW4qDvHS9b4wZJsfo8nUI1FdD6HAltewO7VPwl1ZSbbk8UswxDEC5pln +aHnv7e2fip65BjLhzTKcK78oUWtv0YJzvDgfXUfb+HurhfQLms0kQ+gFmJ3XF+fiGDOhIZkbEMy 17cZ/AKK+6gXhhD9bheI3R31nxC+de5GaMeXQDrDjoeEpyZKv9P2A78ijUyh0vmiIw7Whgh4CSWX P9e8Mdnzs3/SIzrQWYTTfUonY6adHtMZ+wxC5t6WvtoVLjSK/hk1qUHrxVatsmV7CO62OzbAwNyf pkpTEWi7IE5blnY7n3w2hRgYXzT/ZJt0DcFFvsPJCmGYUT+cwVT+2UZFMSbXhVySqs4t4mLokUHK Wl4zWl8ib7rmTNIhmcM+Wku+eIoeqdqudD4cbIwJBNoakWxwAzV3SMg1lR8eQR/J67U6VbbLLwZn UkAU6lfrxmrvXKWEXhTjkoxAFtu8LCQ2int65Or5SjgmxYzz32KWF1IsBZWeIGscy1arS06bO6z2 rcUxqMdf1kwF6vAaUAjGXLyvEaXIrjd81sviSWE2o5TmhvjqWj/y/ij1YYKH55VXmaI+sjSPaupv 8sCnod4LQv3v8S+b2i4PxzrlIduGOb/Y22AdQ7bUeNjUcZYYc29YYEEa9ebm/+Z5/WSgDMYK0X0o tDTwoDdyCp6BRtVPeWZTOPTeF6Rg8k4u81iTx/13FGNeFsboGAN3FAmbMK0Ca7PEDJYf3SvltKNL I13CtAtLIwlAyVx4FVej5GeQ00y9dPeiMdJAKn+xfa+GsU/qw6TU60BWlpkHGEC1PT+WSxvqvBUA Dor6ohFMyhgJRX4LrHobxfVnYMXIDmLly+dEmm66fKp/PZOmAY7c0NnSZNwj/Yp6b/LHCJzmtq2B fM484amiKDsZEi6ZRxgmUnfrZJnEgJdtlzpYfbfxnvtJ7gqZVv+qv8tbcXFj7TUyxgCk/gghk/vw IOBl1byvfXDSOLCM3Tg+WgpSNU9OCRZtvGfSl+btubWNVMYRYPXix8YnN6V8NtjRY33zKGobgH39 K6KN1qIV3Fp8iZ/m/aVug2zVRUckV3mA6ZSbxuBF6iwFWYHYyoQ4tV478lGMovTLL5yPG5BKMh5D xzHPTRZBaKaAnhiLnKLaTI0MRtz/nD2FUeWibi4XRY6XNMwkzhp9P1ZuDP1gOYlwbK5RVz8cwKYK giz6Ps03+Dzjryr0AG4wmIaPheXh9WCRsW+/dKVagCnUlt4enn/tcudFPCT/ugvxzTLQn+sW6EiS w1ScxJJ+stGGXMkDxO6HN87NTXAX0BtwcEX+0Yt7ybFG5C8axW8fwMdWOMSy4cRmtKCoEAi7BWZx CrtO9ChHIBrz0A6XlC50TEuNPbeDtEfiLrXuwvw9GEKlE4zvbaN+El+1/LCfPOqUFnIV6NPeUe9O Eit1Hds6eFzWPWTvZdmef2f6cMQVUk8Jrw8GPYCcyONXmAqXU8wZN0tp+uC4UnctZRlu3RLcexTC JAgjFWHC8ybXKfgaVFABs7kFEYmt2cEwXGzXjkgTYuqoBWKpxZMsDSslwoQYAn1y4q1StTHZ6+MR N6OztWrCYgWaC2mr7ZM6tKbvMC9wMic0xw5M94T4doq2XLwgLcrRyZuQ446FK8zATYsMm1i3eyA2 H70OiW8+DLOW59MQXQkyGUE9kA8X6GM1HyYB8ymxgo80G3awRWqPCgvB20YG/C/M1hVeqdnvxOx/ vUW5F8/DAqbdHaiPzsx/Ft9u32jIOgIuYwuoJbRr2Hw4PYwIMjKBWVet/zh0tociOkk6OjiRKU9c 2LkLMrJjg63gFHsUPAK2ThTjtxalrRtundRT/+gI4YBZsCPnFXIBVbmBEL3vOwsr4xICGjlfcln5 ZhqyzCgGTI9raqcwNgu4cZS048zLAeOIjewIK01bO8NuhCnc6VAeAKxy9wMwibvMrnHdjSHRaw/0 WpAZmqaLhHNWH2HulR5Q/4wwRo/Y0+YAC+Jhp7NQ2mfb6VLal0cAYy4bh0kF8gSluhJ/Ny1z0Ok5 fmnUykZHLOH2deMz5+wc5PFVTGNBag7AgYEUtGnMFsu1LAdOK4vgvzN3zrA/KSPd0P98P6Nvmo1G dkHnLvEia9JOhAbADwpBZikYKAQYfyqwus3y+qxZ9GPcp+y+1eLK0zsctcC/X8kEPWYedIeTwhJo zUaSJtNl3aw2I2M9Vdt8a/IwLyXtgypkAngoRjk26v3Rj3kHMB2iF9u2SCDUzPYvSfQN2YicMeVA fAzABAt8ia0YzKDsJwUVIUzXYkqBShZ3qvfqAH//WCn9antersKVAjUZtjn6Cn4XsadBK584KLjR ydUDwVnV8sLTRYX5RP9NlWc1w4F6Gu8v9kYv+LnRPbgPysbL20dskMEUIj/46ucL8Qu1c4si4ZFO aGRznpFjacrr2GTo9DdIpVyQKHjtKbiys7e5xIdsUZzKr7tfdB15o95VGm0/KtFO1P2VpTZflNe6 o6+D2YgR1xAl4OoXv6huTvc4CIIihQOoYSU1OBHHmJW1Y1dfEHxlZeYaLb7F0P0TvZJmV3L2YspH mn+UmvuumgQ+yoWiD2S/vCnl+gfyWRLc8LyR0QlTXfUKx8vsSjT5HR62l+O3MFHsmiyyyf36g7n9 mhs3Tk9hkzGqpTOZRdTLbeMWpFa6xlNY/pNstEUqhrFQ4hTu1/c0VIcwvKpFiILZxEBt9E4V6RZJ Fhv++DYnT+TznklADZAwo5wSsIht/jCTEN1I+DsT4hE/vuPLB0To2rvNrSBSYeRc0wCt3SbBVGs7 TMfRpjTworbXTLTFfLofPy8baFH6mWkqfwotT3Ts0QYNpAXuBxCOYWNEuFyoBz7T9A1ntgadLZH4 h/yMlMyP3xKLQwAktKLQYv6JFAuAhrKQLezfdDDAZZTMWnG7tZEYqKfBPO2ezIfDHuAl6M4bFidN GsUWj5t57cHE9GxW+cN0j25AUIUqXv+jAvaTnDbKwc7dZVSJBVF5+d1VMgqqjtPNgHr4PDSdh7/b DVkQeL7goJfKrx5F20d/5wNaxy5Y1kN5azmZmxBMr7Z+wrAhCThcEXhCGxy63ZcA6FpgkRhjLHEv Yedb1+Q7N2wXt6LHWfi9Ek1x6Wn0lDpTF9oF2SMp5Xv1rpiqjBeei9ysurSNh/kQ6EMZKyump0js Ly40GNWHscCav/WtHBoWGgoLpsxXnnLrpJmUYsR2szDrzqx8xpWuRPd+z9IKru2oVAISPJfUizjB K3kMHyzGUkjRW0Gr5Sk15S2CLsXFEOi7v8y+TuOwLpqlBc1k3Itz/TNp0g3z3q4bTjoKt7AbeImZ rgtCMf1NFN37+/uoQZXjRgmjb+EnLB4DFJmQaOqGw+0x6XjbwP92v/ePCyBJ3KCuAnj+8LVh38yk /JC/D072v96IIBehp9K11/l8xWO9GrEZE9zaR/SNvdAZkNq9aohdDf5q6awbubVNFD0NAsajjbAp XMSv52EyBR1ypZOC+0VpLq2eyUvmn2SkBz/RwGI5ncb8tpqBf5s9zlNcpaKiLbqqLbR1mv6eAIls 9jfRq2zKoZxEaSq/KY0yOs0PF+JZDXQ0SpC1UAOWt4uwkrd0vTtyI2nQ48Ulp2q7t2qqDyJvyNAG tlCDKM1b9HcilMolPsNWt/v36sXdP3upYtwRsGYkq4q860fjcXa1dMy8wn/1ZaCMTR70bs9ir4cY 5y3iD3MOpsql8kvtgVkDIN4M+LJdJ+iTbOFIcdI4fRBMDFTmRQrjeFn/I+H06zWFGhOrQK/PMIlH xF/9XUK8NE6sooDqzRP1Hg+yNpCnThp1Y52BeyCFB6Q96vjb6E09F3lkD0SYkkO13K2ssjFARbPV 9WbdhOGjTMiOZJgqLBeHwiTttbH5zLrpHu8rfSIAegLN9F8GnV+wMW6lY4xa6LObzCkuhTHEc30R AXxxhmtzfMDxSGKLYPlcHTbF1PhRY5rSmOKpSle+abZqcSNsugRtAHLoP2ZOjT3/yfU+dEjm6sUH 9yW7nV7qAwTlbooMfn4tUgAOpF3UzHRGvmTQoTUGTvM8hxF5DgNgI810vRR7lwbhHhJ/wDfFQHUO UC2vcS6h++JWgKt592bcslzClHYbNa5MZJ9XABS5uinOi22mSnE4CqKPAqpXA7auujLLOEkXj/OR V0BeBSzuyqYD5bteSKm/lACyaHGtOlg2MeMOmWbfcdHwhK+xYVVZrSLWPSKRcikLBPBX3h2qUEZn ISNGfKM39W8SwKvhuKhhKXKj/d8fflnYKSVWG9c95jnMR8n3S29ll8ll01tpQ3b+LcPpF+vu8MKW ZNY0Fes+boieP/x2/Qj4Y26dkqye8E/O3MvF/Dq7hkYN1ydNQDXGibyCZvuq55qY2mnGiufCd7VM PufoyzqPzK9KMB705Xuq4q8CjBo9NwfQRISpmYGOuaFAlSxLSf5CxHXbOZnW21Kb5hfR4sV54fT7 pVjxoMviad0qZfVokJjrKUI859p3GImSmvy3YCNEJjBr7ncDXFM2s1XyFrolhH+EKq3jdiRUyHrk KPu2q2nv97rztMQz4dsoRPpEndXglXhlBDCdi15NgsHoaRqB8I7iTCOy9dgzRT+A34CQs62kuy8t 9xr8FEHTKNBeflpsEAiuf32j3W5u8ssunaCnw0OCUYsU0viUvGt5nOBxole3j2BzrbvFPLov11Pe zaNa2DXgQwj8yA2DTdiq8d32jlaKI1luCCjkcDQ3tq3+oMRSETR7h4CmcZER7nmUQysKsM/dZICm xO1WnC9hYGtS3OrfnxqCQs7B84g6Hn+V1iFw71Jee0smrvkOuI73aMwMlsWCx6Ti2+da+HnlP89X EAlzQ8N54dvb/B2IeIetSKRaUVQf+EH7/ZVerc2tHGG1tDcliRTOOHNliDqBfLRUT+rXQISFfZ++ F67ZvWIPd9rU43eIG7p2UJRAlPmU/lYe+1aobLrAnyZu9AlqVqk1cIiRtE93GwXi5eSusCeMWN0O Zk34wX09GJHwTodRXily4YKWOqpLp3fluvouighhgVDKBcP9FzmZyAQqmQJR/G3U63eqViwFCQij R+X3Tfy1t+zt8MHAKgjvCPw2+xmm6YZMc/GNmLalKxpnss3DKjvDBUaU41TY3Xfnazsq2ABARr8z y2EaZa90NyVYEoq6hhRFrz64OmaazuldJFH4i4hUBg1w/EcuO/MqxB4Y2DObe/6wgrAMAe1TuSgz WpSIS1ZmVVNuMokQZjKukvMzDq2pdoiPF0YnvFdWLj8Bo8eOu1P6kj50zQgPZVoxh/JcsJGTr2k4 tz3bGGH/1twwZ+EQhZANP/K2X8enOn7WoUqR0DgR/yhn3jy45OnCiu2OSwhqkxW+HbxQw7ub6pX7 HixVTTHOaHdqzFPsXB31a0y33rgzT3paE1XMI5Mfqpp5xyacRdswm4t4CKanh0yuNEN4tdC8yfVk KUJTkZnzNZOsGScbE7gHJvasz6mzeX+yP0Dc4VaNd53HdZExkGJPI8pNQd4IGvxxx/IGo0VaXcp+ hnBkhK/G2kAT/xavQH6xcbo32+9gtPkDcUCF/iOEdOOeJGTycPKAkDoGjIVSF+Or9dnbbkfn24eD 2WURHQI5xJ8p3Qjb1rnygBeUeU8fJEae2c25uHH9i8PJGFxirj+njRnFm2GqAEDIE11OMJNHOo5R GamLLzE4UqjOwqT4A/iAlHntoHbTTO1sGjr+SssKg6vcVyCIjDOddBdf7PJ1MEkDaO/tGYA4bdCl 54mhM99gHIrL793n1nZC3ITc0iATEShuD163De2co5kswK5qwsgUnrUvphMIPVciDwkB31y6Xeua aM8Wz7Iypf/6qo5uaCFtIo/5co6PKShvXmoYylXIAjg7j8jSxhmT/IQss3vLfmOlP+DWCsPXe+I0 L+6TYgNa5fbGp3UZG26//YNA17EH5tJRnkbMeHVac7K3xmubdv6Zfo0ku/WatmSACeL+iA6L5iXf YSj826FsfG70D+UmORwgWdtb31p8hSBMIfhcVhCncncC1oSjNSSaVcEhmMfo/oer9W5wl8jCfg4D MmTQa195l+n+6Eh8oxWXNAPOhTJw9eoKfRbvfupwhPqj0p2GSf/twLNGLBHv9kOfGE2KzCfKlevj LLIVVFVk4TC/vfuq6nOdHkiTgdUEPHjQM2NHIk7fJw8WuFim4Tulzri03xelNcfQ2FTqn6akOX2f B0bnjtnGlx3hFxaGIwJrpbHwTacq6WZcFXo14GRgPAuYylRBfgJASTVy64M2bLEg83D50mRlhKDw hZWVps1OxNLrNPZTGs/hO52MMXgSvLWT7D2vzJnaS12hQHCglnJwUxPv2urf3EeSc78Jh+UmRB6d PN4KoryVuk75UZ/6vRcKrLPMXu3SEEu7/n1Gw3Mo/Q4Xv7gCNRy/lRmHIZAKqO+bxClfgPPejYL2 ltUczzix369iyNHI/r1l3u9B7yoRNNwWgsuMWeRPryq6VFzsLq3Y7yMgR6t2kEA3pY9kUjDflSle MGj+C4/cedezBVvVFgsYHILP0PeAZA5wxz67QXhhvHpZDY4hldPvdY/pLnKmLw2mr2eNwCl3d0Pu foAIHaXSeqRE6gAyni+MGaanMXguX6nSG0c4JiMkRQkZVTNGQXRaPMTzCyr5Zlod/XmUhNzi0+rS rkAKQr6YiuTTNsiDYu1NjnUE4aSCDgWBFkjRfpDj+VFiJorGoPdX4IRLUhs/kucg3UsBMbJS7A7e iiBFsjK+CE3jKQgUEzH3Z2J/F2jpcl2n3KQTBsdqpvo55HkgGCrvT7txRjKNTGpXRQ9QSWX+ITXK RTAQors/lnTgJpIxm7Y/1jHyGr0aYMmqetWVrror2uzCIcqkKVFo0PpmY6A3Kk2eDyAQlMNLZzcs ov+oA9OVJ06uxk0K74ieZoWYmYzHqnwzzBsoEB9g1pkGPM0nqxAlKAQ4QvraCVaxnUP8Qz/SL0ZE A66+rlsF4wE3bzWb4ny6X2IVZSwkjUqPjGk7zpkmm7+fK9fFmrweSPZNtGN09WnUTfEF28TNDL/6 T7mmQXF7zwZQ5SeAzruWqlSF+WPWnJBF4Lyrl/XXRtjgeJT6YR+t224LYp6aZUjsBTK02+M8texh BFJkCvNg5SBVUUHYuobYzXPV+Plkfq/gOCcb3GveYNLnw8NV1BDmbp90Fnk9Uf+lmsgAVLKUFxG3 DhTmO7NdNukE1oriw9Yk58kS5stwRH4ne2OjjdVdr6qjVuVydNX/chvdVE1R1NokaVmqC2dgtdSn G3fOGKgF/fXdq9oG8rkpFmBAP5Nu8uY09qEEwWevrlNrrcBWHVrZJ01DUNO81qrAyyadF99tcfTj K5acmMGn9SqFocK+t+yGflrXzjvXVKil138ftcqvQ2GIr0bIIlLj88DVLzH/JpyRq+FuXhExUkfQ 5aV8J2ZZtrAHYBRPxkLG6R1YoNw2rlx1oKTQfOzmpWmvPI2qNX43ZBLTzT0zHrJa4k8G8QSJyC4r m0RUlyLTi+KNZNK6nx8UpeWRY/kkfhzV66lMjp/nbza02pCplho+IAx6Wim/KSF7NHDqc1wPs+5K kn5+PcO3lDfO1fiQGbZrp2uNdW4d8npvrPTEJ2GC9wdgAYCAr+N5ArQoPm5RRqV9w6YE3aWSQQ3N TQJremHtYEe28DDwGu6dgyHkbUZB482jbo2vRXNDqs2xmlNqCiAlAKv1UoZVuOyHtK79gLvqUU5f vlwwaNYuXRMSdvjtJTJ42W3NJ87249dwa9Og+RT4BtVW4g8fer66yDlDrAfruY/DnRTjvzpcYa2j 4ptQPhU5AfcOxDMmdN5w3W1Aeh8Ozp5JgeloP3jg+YYi8Th4tMVaCgBiQuJdJaOMffw7jRyTrEul xb7Qh6e3R9pS4PdLmpUnPjWHEkCaTAMXYWGj0pKpfC2gt6BvIzl0vXzH3HXRYjJRv6gzAjylKvwu Xq6VqbJTZsi4uCPhI6CUbg3eMjDdGR5+Q6s/clxDyslVabOP+Vi8B9dhBjKOC1eV4J/3/J89UlIF vn3m3Dm+Yk4bUaaRfag1dvvkRAisG4R5xO4sLR+s+2o/EakpeU8q7mzS0cal7Led9pWEoJfYfFmO GHGnIIftBCHIWK0rTq5x707Ogp/2LHCcl5uo76tSuguzBc4zZUYwLQcWACIn18/8s1ROkq0+ADff uH3vp7ElLcjx6Ui8r36wU4MkjvxnWYE6k632F/t2nmnYb/If7bNFdQau2MfFeY1znRcelYnQH9XM vktc/WN/DVd6UnChgg6ZONznRejjhjDhS9ZUa6b6It7HoogC4dXBrLqzNAZc/kts2j2ORxuqmzKX 93N/tIjJCmBYxuIL256f49gmTg2+K5phievc7OVDFnMMdKqDpdG7QWGPRTty2hkNygeg8FCoZ5p6 /VHXNtk5sqfKOZUFd5w3kQ/5obQtSIhsIBL5AcVyZCFKTZXIWaewHmKWVIc4w4U7/K11ZAC8aXF1 WpOeCnJV5grxZ5gfWt0MhAnoT+kaTIaeW8Wu1v4MUmyo4ANyyKrglHuzn7pIce9ZP/UGmlbilJ91 Car8YwQ/sRQFxLVx2tA/0AZz5LJn7IvU2Pqlk/Ub28tHbyDyVi6R2P04m9X0vRvHnNhp6ycVaT8e Hd16LBRveNAnkdW246q799OdGfF9GC+mVRup2yeiItE/47v2xdUwGpk3yUZqqrFnftY6JZUwcRKV yAZmoqALLnY6/txag/IZcV8KNQOUkiJ1bRTvsYYpnDRVJbIW/jbzPocgqfoQPF5/vr157SJd93mP Kax+7xkiCQVo/7+IKVoUyAhsKz4BJa8OGx5gql+83GFZMJ+2J2ddRew278+WVaDyX2DoIRenSFqb eQ3/6CvGj3j80tncg6LVxqaB+/iwrM7xXKrJr/0S7xudOpzC6zGISe6xitA1m8K0Al3oRvRQK8X1 4x10Tnbncw7LSSqfStdqz1pbGQA18SEikhpcpY0eASq9OgGAj7fI05FSwwqeXYOdpj1gHBeb+zaS ukiww2cHwqUvXoYnaEG0rQZ084aNlX3mq2FZ1wuy6395ZUrPuAKObBt4eDf2MWtTWL6AnSx+I1ce gclRon9ZmMVwmonYHLLn4BVuA4etuy/LIm/IJl0eAMvrN+3AFFLUNKrBi9aWHkCw+T0/d0tCvc5C zHZDlGuHK2oWALxBNnhnW1PaI2998EoPhiH/DI+G4db8mLFIyPtymg+kKYk2nU2iGZ3gg3u8AUwo IMaRPYno4c5O4RpaUq5vh9oxIlFZ6hGq1iGMHvRabwy5yRyD7zKSoPNBRJRItY9dZX3Fd/aJiPSK t+Ch/5cYUsxJPyi5nDujLseXDU7/SmGSGNJ9VhLSl4ZbBIpzAZTYL/uYlo6CKrPUlan8xvg6STci tm9QrsG/aBcqOU193NmdM7TLyU+tLKzGLAW8mnTBfkZSIa9TsdqVcVnrNbQPhRqpQW6b4IGXJTi1 manWlOOCT15ifPozAnM9zEPuYth/AORfkQiveEl31/+phkK4SWLtSFRs888/HieYJ4BWI1H7KRxP oWIdgAn3YKExMh9RVNmY3kDmH83WxbA6exn/CHmawVtfB+64jBSuiwlxdWGtTrlDf773MqAXBsvp RzGbg10wKBBXGcdlguTHdZNfruHHSJQDYXf1WbHXCxMEkBPz0m6PwunEx0swdIOqhiwW2Qeq+vRT y+RT0HNl4qdKSkKLsCicLnHuI2+ESUBb+nMnfrIoMvS6/dJzk6G2HkZCFrT95AcnISxRBO7r+v9l Szt6J+Obtu8lzHLF5YiZTfF3bgLftD5EW/iv83W+4R8EaA/mCACWbUH1ANrz1xBE7Q/YJ4GeLI87 NQrcRHC+TPAe/r/oilbGUVHPRV5YDu7oTB0yG6d+CAs+0TlzS2wtip+4ggHhwt1vXAMIRFSjS/p1 RRyj2X9eNKUcA5t016+h16pXW7ZoSJ0RcKKPjmJzHxd5jwC1o3iA4TA1CGcI/NK+CFO9FlS06YGQ JTrXlTGejkKnGw97/tt+W0AnSXq9ewUmdKlNasD6bKyASwzbmH/37YvKkkhJMtTH7fVcy1uTA3ic u7PjVKfiyYlc92ELqICK8QSRduNyDgtYzcxrbTSQfWxl7Vfsa7XHudvftfktvJhLtKU/77v8IamR B6mE42Y0wxspd3EFWaOF0k1vXN0c+DYlhDW83Rmpkq/8JS2vkCzG4swoSyAMRr7lmCXOPMGAw2ar 1j6b+DsjapxZIytNB98jZ3snKKXq+GzPF12KLUISkbmKbR/7arG7jMZxPZQs93WvlikR6Pnvbo1B WxxpyMA66fvBpLNH2H2Ersql+vdNT3D7QZNnBgIiQ9wM6aoPICebpWPFjZMFANlKfopYyHz4uG+m bbHAqFPxvY7Nkp8JYVLJsIqy2/9bvWESyjSz3Q4r3w6T4IRHvA3AgFYqg83OzsXQ4rCe//P4wuSu sKiqtIXCd3rD65pbzwRit0Pb0mAM4ItMM97p/yBBkVuf/zLCQ26pFa6oTL4cEP/KcmcuyJChGmZt qxi1bLyDAbJ6y3XvoTf81ojeIUjqDEB6IiHyuVP5BWmDXxwLOw6pc5P6rvTuyXYxFRSm+KTAE8o1 yPRXpRvck6zgIKxK/CppUbEFQVlxqb/4md9I4Pflf4MttlyvcIlLcr8j43RrW7ga/scBGsZk43bV ZZaIT33vnKJ2hfK5MQGD+MbeilBNCQM/QLm+jJrFsSM1OqtE2S+dJRTp1mueOIPvGFXW1+v9ntRk wlzb/KGjH2JjSfn5t02Ct/89y3aTrM/Lm3fxEdNUV5oNwqtvyWa/RyBxxzfh2MIwJJ1+EwMiAzX0 hTWCBWZcl0yyjhiBgxPV2kw9T6MaAP2HU+94tH9VsQeIvct3aJujC8Xiex1XkzEwH850qdsOcc40 SRKeSXX69nXGHDCsc+f5EoNiu/2tfyolemwBKYW1GbkbUNAjkhxFbks9rK7KT14pl/qUYWkueel5 4krhFaUgES5kABqZp4ibITVXNZKF7FYEs4nGxRMrBNNQztZiZAbbBGX1tA3jxoDpAtf7GHf7JKHh JoyeqQW8hcZ9mBPdqZoyGevD9+oVKXqlQpUezNr5tzHCSaN2elfsM4TWs/clvIY94HU435I5HOzp acBitpUj1WMKQrrkW1crnd2UiUxC/Ii/Mld9ebpSgzXM0TI9LZi/tBjjU6lD+8aed80hlKwo52Fg aXEJXYH6Nr6wKIrH3UqwZNp0S3rmJgjfdY0xclAotxXv9MOHSQ2Qmfx70UO/PPvom2KYdARPofAK hOKBMDXqUrODJ56j5h8rU9fsFe8FbmSWG/sIvGLZFDGoYeVOWpJx7WHHWNNoCm8ejHPqqAb5of2q O68mnT44Pw6kh0N7pBZ9tWrm0kMm2WB2oRhSZUP9v1j9Szxxcz9lFGd/VIe4yLOyFMlFwNDZ31KD j5CZGVxoewvD8vH1GZDMZiS5aEm5UZyu/ZWGn2jIqYrjquzphKvBwUHiROsoEul+MxkFVlqXXtls H4CHqcyjsaKHiC5nFVaDkB7nvliqTkW52EL0qOxVa+Y/X28LDhjR+kKpzLyzV77uwp0RuHGkg/ba FbVhYndbtQk3kpYTsg9sos4ZmvlQ4jIy4z9IKFM0m1qj6KbKIz9Q2N1QB19umWdkcrEvNZaZZvJb +BoI6cylU3xFZ2aFdP1PdpkJYdARETuKbjgc21munxQ5EqyGT8gDNJzefBv74Enx/enND21abUPc qjDoV0YMW4xOBETmnVE9tHztTaZ/PAwYFdsC3QiqPfG+8NINNT9sSqMnUmu9AQGF4+mJrIOZJ72M NRvONqR/P1yv+SfRPSLwxnTpJqTzx7bTsypeIDkOHk0jKBPHvqxDTxWYn76ty82++7xakDqcRRED MbUqbGbNsb/+rTl9LDoCoGfPU2qJ9d3aFilhjvqAloYnHNV0bwxOVX0UcoDYJ/s6l/sHKphILOly vgV2WSxmXs1lL8PM+XTvpCSjZoBZ55kxsVsCUZXzfxM4nO0n721JXDObHlI7fGiCW1CpsFbxHPje 13IGfCCU1x1MOxKQ/XFQpgb25aMlsVii8Cr+hjX602qKFpNwp8b13/IP52p+H6wXu/1EtoOQ26Bp segaV6utATvdXGBMyY7GX5Uhx3OROm8u4L2D0HyYIqCz1LbMq3wu8V857iD7qM2xyd5qeMzq34FT ftwVtDTvLCq+pG5HzyrfMaVn/yY4iPBU/Z0r+DIMyTfmzb1hrJRYcr7To87OOtvXJhl7eySJ3oMi T0hWNwCAkK1jj9INsvN6sn/BJ73v0rj73XNJ9Rk9Cx6rm1OfmKbqcKuyQzCI6eGX06BxlI3ru8bg NDekP87u1721jtZwKRMGRZvb67RlZJew6Vx4q5PUNHsClNpaYzh/0aR3NA9rCs5aoiWEkUmxnlgH Ezqp7abve5LM+Pj7dJVyK/q8Q4gJuy75wzJgBilP/zqJiZmyWfkWbPPkvDaOn8SuMNc4DAGKhcHQ hYQnn9IaATwA2R9/n/lfuhCCw3zzTZe6LmWaRWhrQnt3UrhDDpTgWauBgeNRsP9/7yALgWu9ySUF lG2QQdCifqY4OdCWp36fvTqDh5aWalz/WBYrn47PtVx+dO5EIGXmKz5pAPoYXaG5S5BWdEXAXs7f o/Mcu+jDzNyHcsiVHgDbNwXz/upvbk4gK9I1nHDb/x3sWsb6nxv8b02h4e9yAc5OuSaF/hDlIDed Mn7B5VdXzRr/eLFjGpNFPzY2nny9f/IWX72zXd7ye5pZcaS0ugyE5ovgO0qiGb9MxBGUe2GITykT Eej+EM9rjeKIhHAM5c9rRQQhwlhUUB+vXfNi1aHaCa1dT2K9ZA4VmLi5w1nYYmbMQ8ef9pEfV1l3 qV2qYk+idkhQ1DPDbAcZlOmlb8SJ5Mao1X3V2YpRFL30VIfocso0g1yMxh9G0LILPmm8lxUgRs9V 6vU8YiEFpBXTK1GRpOCKhhjC9dqz+WsLK/uKVPYfzeC1iE6y37he5Z4hjABrPFFLIWOne40CGbjM 1XosWrrzhpk9MgGvUwdAQ3Gj1RRx2QcIgym4jBGlUGAZwToVeBoYDPrWe3SDE38gO2zqP7n4/dZz T/PweY+mWp8+dfymVeMAwJwKo1X0nZflYT8cGvFICV1oh7R8VvokvfjvTybwm5HRhZ4MqM1ofSUH vXg1saY6CdtxWhwX+XHrVjEAvu7H/2tKMyL0UtBlLWvTRLaGNpFOhDsqc3GyY6m6CSJpIr6VvheV nyM9ccQr9YpLFo5ZmLlKfueR+kYQJFNbBFZC2Ms1zOAm/sd9/i6E8CO2plOfORdR9xvdoyT1Fc3L dp0B9B8qYfqRo9t2ZRLRE5tn3a4XBiqa/96tPWhpeZ/EDflM0wIQdWpY8nmh7yHFuEJrzn0oxs49 uziKRB6QfNBptw0SB/9nqeWu0BEIgPqm8oWep3SmGLfx9x/R1Q/nM2HHxqVYLNfqsRQPObwbK6o4 SK9+hlEcKVxKhv890cBgNPScIOLVQxyhhImmRT2cjqRiNdmP2xNfqdbcJxdcc1XRfzgfMH5VKiXc XZStre1lV2N5Dlx3VEAHN+rTINHOXkVhcsHNqMMF2C/Mq7bJTXrwUUCGHxYkVwFmdEk7zyhIiNjw fud1y/27ySf0NeHaXohKSCKUonDg8J47R/jTmjGvmoiHE3aaAgmygi35DE2HDcCKkOE7bqNo6zWD 8qrIMzaipqrXy9FwGOCV+p3tTnH0XMDLtSe+ZaEcQOLWeXJjmFjWKLmhpWTYgiLCpEQkEFxgjibC cuPKqSFALGaV2769cgQemETpdEopyFsGg36wHfw4KtQdSPyKxe15rTmK572QafFdclbBA4QiLJDz ZeqXQSg+4Nwzw/jSWgLqWoIwGLhYB3ecqvtbnOI0TPicy+tlFjj2J9X3Y8nnkdVETUuGNDMuY0UM rOxSY9wiJaxHklxvDz+JzFc7cy93JvwZwC5h65QZzUKpofO5/63SuxUW9n6oiLvlgtIFKsAj0cSS i5UsJgS4PVgR09Ahs48ue/1v/LG9xEt4+s8bF/7vn3zphDgNIagBc59EfEYj7D5CB8mwJurhV93i WNCT1BDKy8ON2MGQHNt58zfPPxyqM2pnGKdgwnKHsS/Gi26EUeYFBFZE2p1n5sLz4wdIThNOTPgp GlCzapymjsS1jaLQOQrAIIqb7rvTz40ucPSQntggscXo+ouDm3e9TeIH7npf+/Cn6/A0VoCVlxvq 8FM1wKmiwOcIjbPD/E91pCgaEunD9lNH99b8BjEvpIJgqnWYjzByYy31s16G5BS5nlkXM4RwFhf4 MJ6C/1BtTZ8+xriMhZ7v7WlpZahNww53FPUQfHJaBCqzKG2KfD5kD2y8XtOuHtsvuvnm6d0l5Li9 cUT5eJ4Iv+J7jnU8hix01lEcCqje7hVg7yr/QVGdMcbs4pwo2FM3pidXXYYzJftQ64DVmwl00p0g RVosiVECSROdAJfa1jKQt9x5Q+7nqK5M/mtPDaceZKhTqGp+0T+jgKiehhRyJM20S3lRNaI6c+3U jIGwFm2JjacpVaLp56LMjYds2ZFoXWYQh7kkudH4UY+x+C1JWXzxfVurkqtW2Qd9ND3gM6FfCRVq ///mqLnJgHS+iLlWIk/IpBkH1N/9KhD/ecnrwaZNFSOonEGmoFDustQRup75CdhLjNww/+HX+JyB 59MyPKeUNjNlWKFHUi3ablUMOLnseHnrC9WLbFU2y+ZWxiKvBdEzPoTzRUIcaMwyii2TIVbT1nbM 5meAaYcsCVdmd/iGHznm8qfms8Vw6Wtg1p+PLkOaWod2vUONcxh6qbqioxd1emsO6hi1hdGsMTSw SfU41COu7d9IDQvPCQGqKaHT/Kj35pQDwkgCJpz/DfLGaRtBut7P+9IxlUYPI0QpMZhAoYUkg0cz bnS6l4gd3ALqWIxU8ZVao+/W+LCUXQcrhPWQvSIPFjx+emXULdEN2yO+C5SUmPk/HmgIrzj7gGKd AmTVam0Hehk6PoQ11TTrlg4Or1cg6OxZPF23vL6m3h14Nw6vpZWIaECZu8TpJL3IKDLSfMA9GuVO rDP5LsiLCupCFgO6q7V/2yhSDlt113rGEsmvA2bLcj7K3WmL5lGjKdH8sbzgAkKtffl7ufUH386p QitfZ2sZTDMMUd46H+B9Y2Y5bJLpWh1IIj2lKl33JM/7+dtGUk2GhGWHHzIt0NhV6bOv5tKY8tZ6 vZdC398yVltTkTC/Y5wm4ebLL3igAkW87jLxl1c7lpHV8ibc1dROI5eHrHdRLPHPI1UQ1n29hAev o+P9igDlTYN/z4S+aXRUvpXKqOaHmFM6voZkWYRSqtT04XvjAragv/vv1ov0VWMGkrsb+yZaPGQi MfgULRtWMTdehmjwHDFoJB5yMK1bMpu8bX8qGJWZdaOidIR05dsSRN7fP26qTKBWS4VLDRZovDJP 0d4eCpzU49QVs8vEXHco9hkYntYvzRmjldObnCII5Hh+MfC6pb5cAKEt04HINuT8S12kTQtR5hh1 sr/kKjzf8o8n2jiouZ6n2UwPCqsfSp7n3oCMUBfkceW02Q/zO6aKKgF8yXuHiu4U27512YRHNKPD 5VEm2sIyNXCSTsc9ovmMGeqzw55BIM9LMG8myiPXquPX9RWmiCEQkCey5aeueMu4JHIzz1FnEfWa 7fJeidMbgxnuSl9VxwxHQSFfy7WPe55uBewp5njhvMMg7p5/Al2NT35phSMSrw+UCLp/uVK2m7WD j/nZ/E0Ta1DXkVkxqmiwv5a3+/LSxUotv9B+zWf4vIUPTaMg6ABdoSQYZnOHM7S0p2X8D2TFhKnk pbi3/USgx+HN2PWdheipxx4fJyCOdQC0ienYZBt2EzX+krO826KBAJ49nPbRlV13IJgdziIaaRZm vcZwKuVoSTNUtTpZh47Xp2r+c0CczQl3bRWMIphhUb/ObGKxisrqeUxyE3uzAZA+/F3KSl8bj6i8 J69/grxaTFUdPU2DotbejibI+sM2utHzcA89W6tfHR0RPQvmPVWtkKPKdpkWdDMUO5qQUXhZJtyU q2o6cjTiI9yFGWI//SE/P0F3SpOiB8XMuWtF2IESYDxQZCZWR68R8C3UeHTDUin0WBraXstiYMdu GoeJMVD4yuCkxSe0bywYUrX81Lvpz3kvZ9udG8spJzeqwDj81HnQXgxM7hx+cy+iQ0rki8e/Li0L Y83jiQewET3XBLbuzYVgTjMI08+9u1U9lNiduzBLM7la14AuWfNeXnHap6mrkRBcJPvXCvF7OQH7 I+UYYk5/4jyvuwmezQkjEW0PPdr2eMokTi4/gzBAlaB6wUvOQbcja7Kjn8Q58ld6E0MYiY2yOlB2 88armXMDMIITvKERNDxvBJa9GulwKaun31rRw8xkx7ra9qyhJVUUTwRLlRQIYvnnZZqhi28b5kZj RZP3BImQlQG/ZUPSS5Twy8PLFwSS1IDM7OK8RFJq3vefEXznP5uuMM36r03B9t3wuFYUU2TyaM89 c2VepQliJykM9m/9Q1lGeXA5zUUviJrBvzdtPLfjZNuV5l4G+LSD6XxM5/KPY/ZAKucOFuex/U4W Pd24nWX2YD/1qryGgY2qxnujA9ikG4T4GTtzSwP453Gr/NKWeuODQEjxMnUD0VRHCY1r/G590MMu /o4pOb6rRBmRXflrt6DiIQfP7pXp79FyVCJZ62u1Dlh+iNJviNpgSzAmeK65SG24CkbK8gvPP6ri neItsnmPFFplPlVpOX3F28+GDKeV25/zxvROgoL3CVjSuyM8qXxojmVELNX6tbe4eYjI06F9w1pr ehjNJEc7WSmhwbTzZ2FSu5WNIYUKfq5jBOGWw8tzhtOhllEf0dBG4wGkQcSao0w1fJBiaIzxlW9m CiUV2+Bm9XCIDL5rUOV/rfz2FwWPwrbf44bHqkK1pfTeVAb3oGfY4ZG52j6q5QyvFDLhBmbSWqzC JylxmFEbCkVWwQDY4B7N+TBHThN7SagwvQ+oPQfuf52n2fB1gsqi4jk+FTGM0nfz7rTKm02RVhNG Xh3wQ81k8gO77bqWj5gnlOE70uE/InfjfveusTti9Xtf49QD+PQ0m4GZJCTKmeyKe4+WD9Zx0yJ4 sGY8Rv1UKtqQvAq5SkKekgsfXc2zk24LlYhBS2ySCKQTJX3Fu+85k848c+BZJxhv+2UcSAbrXSYc kkXVXSyGDj/Y6PTAAnbpZs248a+0xV2gFsL3OValLLKjV2XWAatiK+3QsA2rOVNEtOPgKC5BT20z lJ2aQfhkc0HdZFigrVjgMOYOBGA5kfV3tAiRE2bXSIeStI2SnWLgohswGiuPYoCI2tljO9wZlJLf qKiJ0V3/MGCCeKRkyOXDV3D1sNgjFedGUSTHZrK+AlDzs80YkuGeaHkW37y6kS6+3heb6sYJT447 /vYEE1TaCMlRQqB+62XGvl+uTts2r+Mn9qEjYO21m/P7IRdfqoTBmlhWQBPgg8zC++X0y0wUds/Q dTXPE7PvK8qPbOtJgXQefxzkN9IFZ3TxeVN557N9UwHU/oHTEc7ZlZznpLclyFAalaJ6UGyYBA+k WF4jBXvusEn1rw/viAi0qN8Frf5WsMydqkdHjt0ry5V6gg2cT99WCVOZOyGfoFgDjnRqA97cs+wz VpYBHKZOlhozJXQiPC0sRAEIZPTvZBfy60iZGMuSBl0RxbL7rU1AqDug6HleSakIu0LJirPaqjSm Tmd5T6BhSIh25qUJY1dI6q/CBsHH9Yfmf+eWAakXmIwtUk8GQolaw1h+bxrEoyqRrfBM2pg93J5D 1eF+/rh/UHjJl6lg/wEOS/jm8R+OUTUYxi6g8EXiXOBajxdzREjDn39laWhs4R3aqOmnERS5SFGj CcplZZ4Gk3xDPnzeJc81MRvY1xeR9J0e4C8dEYz3+kuDIAjTCg0nAc+xDV7mFSeAWuCyxhxVzUQt yofrFugAsMtjoSQt+gX8mhg7y6vOIRuDUrLhVlSaQ2MMnX+rKi/FgrbqHHdA/Dtr/hffij+tXGSv hCYM6tV7Rcuhjb63mWfVnCSXZpYog/ctnhnuHAWLjpeGj31MqRtsLmDTgDSmz3XAJUckVnAD0UHR FbFE+mImI9R9zcBNlOtafLdIMo23B8+jArp4SbtTwKbWqTv/XGbUcQrClnqek5S2UzniGtWmOoC1 dLyz146idZFOd0NAFS9D/uR+pp3kzDCOjKP7CqIE63UOPMWcXMZqBOVVd4e/Ub5YzbmTD+3MQ4tJ k+SEMG/A7O4T1BF2SR5eU0bLVJn3tkWj2azulyLlKt7TrzJECF45moMNfRwqUXHVJyVULvUo8Gpn g7vUoLhD2VTOkTSKbzfh5AJtXNv7ML0Vzn6GvJ2yb5z1AEcHNMgHZE7Cy4dGxp1VxEyAMYZoosGd V8HKQzGSTpsSimcfKKnswQGZE//2Ntno0bsidPsgdNWdaea6RquyxvYamb76dRKPS6XIaLGvWUOS Sqo2pQLgBcS0bBGygkcBEEMDxKBJ4ZlF/JHjpyNmkx6A4j/K3qfvZrXAOfJoJIujlQ2/JA/UGKoj aSLIKj2zIjA+H7hRIjZWgAoMoXGW3funj+EyDFDJBV/yGN3OUMQx1LG7bCoUzLM7kYAhxx/fxssM ZUNAtBMKVECsUHCJ36ndprufuVlVmkbQ2o+NDS2hfBb5j0XqQqBK++SBTl1ZeFrasaXC7tz1PvyO SFk0YAtihHh40pE6QfBV6Pj0h2ZJa1f3TgOw6lAVyj7CZhw5RGMN9PN85/cgrWatEMZdgvRvsi2R vJZARORxrB7e0hqjsKEQHDhOwXKxr/mQf8CljdF0ycmwGYqSpAH6TP4WVllIrZaNLCZGGaOvc2LB hTIscoLpNQyxn9/+isL3+l62a/WYj22Md4IE6H/Q+hoXoO1605dP9HFYanEmUC/20dVL94qQ7QXp XbiPkVWdO9rcJgMmX1p7SSnU6oayQMn8/okY0fOtw4zG0SLrLH/4++BNZvjpDHSVBUTueq+MwBy6 UeK4R3mNMfPKZ2n5+T4OH0XgvQqIII7mAWvkxZ9CHc/hLk86s1xkSZowqP+TiaXRxxs/zTNXZX0J wr30pmPNmbVyeX28v3pPuQydVdQ/sVMv+Z/+vIGUk0G09j/rRVIu7BfGe2ZdlCe0xkowIjTrGRAB BJr2jeAB1bpGEMWCmeXLs62GwheZqMxOvXqkUcl1lktHtU4Pe69lXrb1EGmq+8P4KOHX3qvzODEG nedgAZP5w+JrRoBA6y/tI48TXOAWAG9GT7c4FNbsS5LO+KRw7kg/T08vZ5RpnIm08ahpp2umZpzF r7vSIxGiZsRtuye7jVx+NYWnj/JWNlCaJMphM4302oZ9ljkXGnEOBlrm+U60d5A7mhpMkraH5gF1 OTVzT6hBddXR+6t9P8V4vb35/AGnCpwQj4B78asi/cX3zBxUzwtJYkNiGcMyvIxv3g4f1PUPZJrh nFR/2E7RCHR5lTnG64v8PwXPcsIQ/M19QDEZv/l9Of+VHVsrq8rhndtsMF2qYL8wk4Dv6Qe2okLb bydVEvTSmoO56ejcrrMYcH+TquwQVEuJcfQeWNfYEr6xM7H7rANQO5V3+602ys8o0sZSeUAnBwuR 9VPigfz4xQt0mYzjaqkCzWMlg+sfj8iIqddgozeJQp/FOJoO9QqvMYQCA8FknPxpzxvoeVmosQxu hXPN4EUEUewL2PYlCsNsvVStaswGjRK49An1MyCPILnA6Hv/3fGaGBY8d8wuSZvmNq4aP31kP/BX tzXmArRpXezAdTkrWKbe0/FghDOVhWO+QoiSNDiZQQHZqhOT3VRIZTdCTM2DHRSGzs2TAmAjDUMo 7rcE8XZQBchQHInHaEuBmLIzz8MkQZC2TAoeCf6pHEwAiWIZylrOYe2/CVFrUBA5m1BZ8LmZ0Shx eCarJLwWkObutZqA63dasZ+KVOiKtrWUfLeoM2R9Lyw5sR1MGLQ8PLZOXY6UDJSackoapndWFf9f 3E5HEMNGbX/Omih64Uv1ZdOfKmzdE78TM5BIOHgIxfzTNzpoETq3ixmp2tauAz3AZH7SpIJ1+4PO FaIkow+UIvXqffvi1Y6feaYQ+OJyfwxzN11AVc3D26dH1Y6lFqkxWRM1E3UR98Q3atCVh4oq1AWm XcuYTCAIozkORvbojsXTV9dve02WlPvQ+4pRqJmijQ7viontUHDFUXoPNRtE4kYaatl1sPhZvgFC ycyAA3aD7mhuRy4OVvgYGICZTzqI3oaVRyxneIpdyGlt3gkffH8x6OVfRs3akxKMjmRd0EPUpdrY we/xxZV2/FYyf0QDT4OQkjmKw9qWAf8MFpjPGknZI+8usEs6avLcEPeim9hhfY60kKg7kWk7Jog6 Cz5/ASsT8jmEoM143zZhTGmvMCQH5vk1d9vFEwCwcQ/MTMi9nvznaMr9drRyA2A01LLJnIBNsqF+ IaxafDVwgiYbU4zCKgu4kAZBksKjogx0R4BarQphAWIEgh5mh6Z1luxjWwT0yQLw5PxtA7OGOWG0 18qLvEBnxkbTfXw8JMd73CkxNast1QQqBb3F66tq3f4Japzesi5sfSQhxTwX9X0dxgMWLoQ7Gtn4 3qedBKnfM+JKiuL2roK3sPKyOFHiP4Sad0S3pf4V608CkYul1VqrWZf29YdPu/PBGzu06k9BUGZk UGaIO83aa0Bg7LQYPdQfNRtzJ2eOmdJTnZCHIMZvpVBtN88JGDbe/Pw0ZQ0wNNzz8VIQ6SK2n247 6Peu09DNKKcMl2aLCuIJn1uIRVsnc8mdC1DcbNZpyHYnhHU3JR7GOHgAAK84PQngGqg/m7kYpN6Z goIR5YLCfEhfKlNLiJOv15BDBv9eNxc+zadFWwyMlamLBWKPKm5A4acJae/1sofTWNVApSsTGVHe 45iZj+lvOpj6RhvUoUHqZDRafXHxmAWceTH0Yz9Q456Y68ohixT5kZuOhDzsN6QfiK6IgeQlSviG N6MjDIukVEmXwR3XPcqeDnYU1RpdUFOSQ7qiZZXqifjUuhUborLnrdm3T0HhV9n3drlmkdwEjHtk xleMcPeYhSkiuv44Inu6iKTC/3wRMbUHXHXQ4j7z3jF5nL2gDt2kheC7saculS6bnQxmW4rVn81h vItGohuBn+YaawWldkIbRJvOhySuQjIj0XAi9VPDI51QysXwdYWNh8XjkN1PIi05ZL+8sYfTj5CL AxaPEBIlB7aNPInzaDYsUte9gNwWZYdDOFdBUuW9nOMXcjXPHXg3sMAg2aBfyIsmkyv1f4fQAw41 w8Gy8UHvGOQoC5Y5biDUlxLAh+rELMiarwxbu8476H8/GDL4n6wDuaCX8+jHMUUJBdyXTRX0WhkL /VXtKGXRgfyC+gMpJtOj1teBV6YUeSuTylhc7a0rl0C82VdKDNupsVBfEsSgRlY1cr3krH1LtSz6 Fp9y/W39ueYMuSsfEYJm+dwo9DujO/YDyviT2N3XOPnpTjZXZIVzGLpQG4DvSgW1OHMm3EbD0OU1 IqO5YiEIhiMhHUDSw+tImayS/wMKc7UzyeNJnl+5/BiGZOj39O1GkSgYz4LUZ4hu0SkBMjhIIj75 1ii+zI2TJ0rhUtKuCU1vNvNyLNqcqO+9jHiTmb05i7XH8+yR0V2/wTsEzZjpWdgMyiBmzYqoAzBn 6zbNSLQD4gmzEt355EeRaNrKtw6AWknyjckkrtYsTjax/xJktRqydZ2lCzgCl5aa3ycZXjUmpeZa S6k+63u2pCoWJg7VI1YwodZTdtfCiF/QP+spK3H+ZcWJuLwTU+5qHcOHrkibF5YPZCKpDQPg73oe /11RMRbEW8bSRWMQF5hShdvw+EPLpUweYs0feA+yR/sBfP36Ap++rVI6ArfjcUb5mzMvSo19rsDt 7Q7uDLjNfF1bSN/twk7pIELMRFEQndVk3/ifzrRNuT3co1WdNDG9u1fs0njmdnUKVBzWmNF8E+hW vQcPKVPaL68kDyQek0pvYIKREm++mOGrupaEghFBP97/UyOR++JfU1euFPA/QaGHLZtYgZ5r0tA1 sGpsTsJdi45mSJ1kZu5QRMLaFvya4KWiwecN3Se4doUaZtOQymE+4FtQ76VgryycRJPHiphnFVz1 n5vPD/8TbIgvxj4hOTSISLCeRkSnzdoD3whB9Aica52+WfzRG+Sj3T77C3HSS6vWSpX7/hirIJuA h/tsamrkzSuUmipiqCzQjsVfpXgm16nYdU6SdGFj6r7TEMM6G2wXJAjjx59U9GeTIwswI8KaumOw k38At8J2grP4Q256S7aon7hvyf+7ykMRoXAdzy+nRcN5h1g2fyYykyzzo4smRbbDLa67dNTHnvya XYxvvALeVwM5mZUQxn4+0NWU/mmSy4G3DtmIijZc+CA0PWMJUfrX4hpx2FJxPF5pX33mqugsxXiP PGjion6DsGDmVUH9cFkykdxbDXrmdxvUI0RCwgiwBxE2PZ989f8HHBgEitdcxzbqhaBo8cOEdkEh BFK8q6v5xsC7J9B22aXWKwSSD6iTEopLl4CvjOYtNDhohXRRVNm1dhFXhbrWqqr4rLriTjs/xXeB Wf0wIkoUAjYTzppensZYczhhN7ByBcjfsw4TdE3MiciNdufmPLBdgJ7U7U8CB57c/Kp0h5Z/veAY giS7osdqgi32tp2fVpe/j7LcMgHPKkFMIO9lqDx6BLHugk03alDRqHiGzYMJ6yG11MzIWK1gusqt 7uZI2FDOkuAN0ghz8XxTWJWDEkuHsLKMaUQjJEYM/jEzbZDfb9n44WzXsHZ4+/pqBG/PRSUJD9gk bwwLaRKi+VeAtu2oK58KhrYmGCg/K4N1Gmyw4Ho2D7mHfdTly274JUD78JAgcy08kjLrMXjcxDlP 7Zl75CAA0/AUsx1AyTwkgTZWBxnml6XXX0zuosAmOaJOvOgdCdEy8tjtp3o7HfCylYoP46fMGIJe /FBaoht+XDZJWQjtk88HRkVhi0mF5GVVOmwaBElpPUQ6Qy9wyajXzCk8xtcMsxcHgRWQDfnvEMXu SxdaLLJawwkVIaeDi+izRgu0thYyNZkFfUAmuS0P4lwUu5HPSRcRrw7UOFyMwp/NcY103XY6AuEr jZYTGYWjle/BXXZnbhKxK5xGvTrapwx6mwL344029gU2B0uL7XMejWDX3oB46cKHNARM+PoafRRp ULfMYF7bSPri7c+q3AaYoM7cfoa+tRaJQLng1vyqpGIkG3fV8UARrPFkP4o0z/4hvThb7+Wz8f3L NzHVrJqf4egemeUq8LRaN22EX0XJ3+IMBMVikqCUEug09dXC6E1Up3DGQhYrOvi3bFvMU8kzkyV1 R2Gp3dyE4EaIfxYWUm6qG+Hds4IFaUpBloGAgWfMlF7nXQxfW95qUkMDYZK7Z3BtDmaIiFFFAmR8 g8MD2BhlA/RyBrNSb06Yg4e/6HjgwNI30nz+Ye+t6vYrbhOsBQ/s85+xJTcQb4Db/Il4a5FiI9xX JAyQ0BAHwdDkukZJv5J5FpXfbCJHi1krd3IJiuX0yVfZ3FFiqM1Kxg9tq6ddGoMxDKHiPMKn2s6F rP4zdsKFX3UVKmOun2F3Nbw3+Eya8ciJmI22MrJgRHNo2a0XGfckdHzgntbrKEi+8hsP8GnsOORH S10KyKbGMsxxq4Kuhr2gaO06UrH1Z50Tfqqj94dZltGcMvRk8BgArJXWQAkn2oCkvzQCSvJjxmq8 6ZbjmXYNi1Fkw44l6UYxpuUnAMrGTDYN+0CmiJnUwOebJ+0s7I+Cg9/KlrKK4DLQpwoh5MfX0yW0 E5+nUlaDQQWAV/1jR0jhUk3vWJm2GJR/K7l5CngknWiq3Zxc0J0eAvTgWqY4DKrqS9r3yLpsgSkc e4uBtgRHtiqT8MkHnOzaP3Or01W1aN3XD4Z06+mPDud0bGJ04cXxI5bloguhc4aKJYyC/8FRWTF9 PjcR2WuND5j/yhpfrXFrlFkeCMD6t4xLKtGwnPlA/nnlyp7L4A8n98/0g6QXHPVz1ro7aL0gxOZD Vx5ufe6OqhDhcmKbQ6SJmNT0pqlDGBlkJO6JbHY+wFryCpgNm2v7zUgRM2KWxcXIbAiBKv+5DZdR BM0z+rbiqPqeorWqdvrEJsOlNUqaGcoZNgl61C174MwBC7/bOCmxocinLvgIsngSvx413ggrHcCK 7QcYYVXDd9jblTIyE80eX+bNR2ASuomowEn0xgYp4tMngEeQMhWbOQam+Y5Bd6/ZQ09WJsiXzJtR OMv+eNPE9nFC0zOBiC6VWfvkpF93Oz/DBfOr6f4AdUF8tqKFyCQCmRx35e8I/f9CxeHNDHlJo8gG Wjjq7C4wUR9OOiwYQSI3yY74xez8K2rLJuVO/3mc3AHiyY/srdCp6x87KCDFxXSVvVhz4RWZolS8 SRN18ukBywEmek6FFZ6gM/eEmds/hD+zNEHimPiG53hKEeOTq0y0mdySYLVSsVRIKonJIOhdGfS9 mjr6syYoe/Hd7nYFijzYoWNhZIe10Dz2jo6zgyjTD3YRibAKqqMbBv/8JpXO2+g55OwL2jmtE+2E nTKjZNTniqviNO0x7cN8P7I7ycS1IlLFK5sULESmckuNZiXxnIRQq9UMAbnqi0Hi2xn144pmGDbw /ththVeYzrSMKrMH7aj4TpI0MOWZi1tP19N4JfK2COs4Hx4TXf8B93K9heqqSs3DqzUQnjoN8aqM to9vGVPPwaXCkhigQcs9OdA9iN/hCpaogKyZzQXDiznRfyzFSGMuWeCC8XhRJSMioW5sxMXczRZE pIk4l5G4MO2ci1vF03BcjMcHnCcuA5Koaq3QfjJ2l75Is/4hAodgbOK85DMmxan9e+qsjdVBswur blUSdjDY46v4NBnc6W8lSDY/xCS2tfE8FnP/BBOsIYsC5PkhpxId2i59wa884hIpRZJRlZw1MfYW i9uNLmsIQhzvwGP67uIHulXJkXoSrj9zclKvSP3gD/pN5DyMnkw0bASFgdDcQOhsgwmDCDPckfHH hsZ8wlOykmNztYlBASam2MsrHZkmeBaI/WNz7zxfNAK7hn3DUPMRt4ixhvjiZUvULIjSYbXhA1HF vmNlFql2xscLVorbSYAHtmd3kXM4Tu7CX3yjtnqdN9Z61EpWMHQyUPJt/wgzMdm8N9SdAFM9eaRV xzC7m+RxP50uxRerXTjZfojphCRX1gzgM88Qd+nL3J6ffZzbQAXJqjL62yB616tbaa8XEyjPNILw gEY1c74RJ6LuOxQSUrL5vT+TzmpHYqUP6ItghfJ+6I/F2TXB1i6Y/kKsEZW7NS2Zt+/LZ+QjNBKH k/EjFt2I91e5Eavu6I7xsuCVhSpDaF8W5Lvsvwx0y3BJKyopcDtZyVZcWLyCLgEjVPVXWzDjO7iS a5Su9eQsUfp2mk97e5Hjn1hMSiZsaj07QnUD1eNB2Xuh24duVsS3IpTAQPS+8aiAW72s6q7itVss W6uMWC3IreDGYNgdPwQ7mGXvyKLY2Spc00NEnMvUxQub4NrUUyw7dZUz5bQ3JQfYudV8eun+YAwf b95n3KXpvSeYjfKG6aaaxJGKE5aWDWxzbqEahxd/3Zk/ztqrS68fYjWtUVSg7nzHY0KpCAhCM9Hh fCDTuCYCIMfCkAVnQ4pBS9O/3tLEh7bKU1Uz4LCraDTjIELvT8aReARnzXMuHrgPCY3bXXJgBV7E Kn4kmBnWnKPOW9qxUoOpb4ity6uIuSLpbfOEd+H4MllNBHZeE0V9DtVMDtT170oSkftGS0Zdl/ks g9VnNjbLW+Gptp+BthEjkxQIBoFxZyFvCaT3Lgj9wN9ICJ6xrpeeDMBiAuUf7iVjveS3dHlUneqe doRrHtKcHW50t7kHHPos+03zB/q3yVPu8TNig366ize9xcKcFXrdCM9rnpPLKjZ/RWNVAeL7G14X QC920Xd2+dTl0pu7Ofcj/AEFroHoNz+fH5Y9UyPbs6qf9HwOK9uTGENfR3KAhLE/cmz04Fs8Q9k4 LPj+e411Ov7qkfTStuhgGXCifmRNn/a+NqegCfdStbbF82fJmxQns763d5Lm+oZwrNj+NTrUDbdT i+GCalwpWeSzHjoIL85uhkxhOQsKPsSPzpKWfXjp2LwDF8e5k85UQo8FhfvfhgrGK9IBNaqMXb+T ZLdhDz6MSlXrV807zWO12WNUVtQhMiXPzSIGMWZrV85IIkrKqHc/fKsc7AVIZz2b8Tc/FzXVuY3j 4K+MMItR6hUfnWnMimNO19ucArFjoFWzgGGDMrUgzlT7nqElovoWJ0eKyVDTw81wbbSV7CkwOb/M URvfE2zduiJNy9RUUv9ptlsmiYWXHEmUL4gGVunIAyDf4d8hbONHjuoQKfntGTcALF83AxDI92zp ap49ei6w+czlvEM0o7Hw9nDrbR5EOycQCpIMQiDO3WfpocX45V0VIeLX85ApFz8+wCm8ZyJfPjwx oGnTtuUraI4cyjTA7thR18qabBb/wwdbz/bOTv5sFHLhHZ06+NOyi3RnAYITkeI+0ZayfmY3klnO NVLkvibtMx26OuR9zYNMki+JJboiYidR/DdGkLYaPYAQ7f10RjOEuCkotRlh12XPTXo3+8V3rv5K JkUKnegt3v2msbY7ZW0i5Yg23B4HLmmvzC6f0YO3OOlLc2jAvAdX9uFMHplFvjLW+qtpEZvvriQ3 +nebJvyjWFf27n8GnPoJC4uL3zx7eCZA95cXmCYQWQ3kzfQ9TH3PebHQ1xw2nZ0GktwrSWRAoxhP XerawJ0VIMNgdN4x+YUkjtunIuEMFZa7fKOOmqHA8qQuUcrm6LVcYQZi+oCbo+ypjOwfe8cOnnQ7 3lIFPjZTOx/LZW2f/k+7h9hB5J+gJ6YqgvRicTRHv771szOD3eU0rTYjyAtIX5KMwonYpedrcdjs coSbjgTy9W51wSDduaES2N5bn/qd7pVw5lCEkCpvOfzPPXzoMs6IC5wOsNqynwMp6bB0KSphoXL+ qoGVjpIOO/FzHl/85nZN5JbUbrY3VzTDP/MgOKl9juSqfQpuWwpKlQonNajeQk5TqrRoKXS8p25h V7Cz8ufniiAtu93/ZY7xI0F9WMU9O/JUB+A6wd4XkmTyKNWLs3UeXRhZYehdotCpLdnBJdWFyuIY Hk4RS+EtZbtjktkEb+r5YucbegvJHpVRqUbrRuGMEOT0oD3Nagd4Ui+1plJKa8eop2CJ5hVCT+WG Fd2a0z2FtOFosIsNjK8BcvrxBF8WhP5H8f/gKI1LVNZhuIKyksMXeeCC1c2J7m6igvqZC6alYiy6 taPgiu7R7WsD/auh1UVpPddHOr/HGVjOxB+OXKv6bAGQw0HXnpvKhcNVYd2o6MZHMUGcd1r0JK3w kRG5vlKCVaXGfkBpWSnXPGgzRoOgmPL58R6iqBmHlUsZl5rpCLupFMYlGmSXpoNci2+KuidiSMYT oOA+FeZnumQlEcGB98BLkPOozPsEpMSwgOtsRAVHUK7WuqQtlRm9wS1gIRLBTk7yX/zKJZydbkdb B4MTfYa167WT2/b+w8pDXTW2FyzGqRJcCEPBi9DiLTmLdjiX651C/XrQroSwg2U/CkxUY9+VbdO0 sYYvH+sYXFBtYB/SGvQ3ZJB7o4LgYA4PMuXcIIDhKcL3N8ASyE9E2pPQksGyfrbI14m6mWBdzVLA k7lJXIICaegQcC7oG+o59fWov8iPNsmDxZ/ppttBiG3kE/+S3OcvDSKYdsF19HSRoKpsiT5/vpQ4 x3aVFX1Y5Yp1+laXSsomRiimQVQQH6YijSZg9ZpumZZbQzCoas22YDiWG2j43fsFIGjBiYILJ7BJ 9nPSScQoLDUoELiJHtGa5+VezxjPnBgkfDCnr2C8BB0tRU51RKGY70rByglPaLNq7vdrz6NLgiaW 7TL6CbhkNnu9Bvn5yrH938vEzk35txZitXO2DLe8j/ovO/AZwNMrtt2w8tWzXrMHN6SeEMuxvfrp 2wR3l6TQudDyHsYXzCiabscNkJfvO+CxyIbUc2lrUuBt+g9rO2kICrwFpS3t3bGa1RGtMs8rRrUW XcyXf2qRDUb0B8AgvcGn8Fk015M5Ww8ANFU/JBkUqj2zGxRxNxNyVeZf0znDoQNs69UyRb5+b3bt PJJ4bUHl+QjVjcnI4X41tFsWhAtf2kfgmBV6lO2fiq8dlIU+t4GW1Y2mkgAYP/4EWQOQrndUTLSj fj3/pZq9rJ8YGiq/Itn6xq/PJ3cKnaFVsi1jdoTwjpheywAk21KUJU6gi7nH7q82NGxW6pupcZg+ FIZ1657PkaHoEkUSolXGiLwGfMLo8PYHVVsI59wFryvFgmjG9+mTPcJ58EEUMlC14Cc/oVo5OUlI 9myGE1O12GOoG2hVOW5pTsbJuUElvvvy6wjSNRrn6IkebBRwDz8t2iAeTdE5dObOVEsna4EYGCzx kdLSd7gHuLTu7BGO4gNu0b7fs491JnmD3I8xvlh28JITr9VH5PtdXVwUoyPJTK6RVPpFMiOMtxkV QAdk7sg3LKqARbEIZ/7j9k6JMIhtq4EggmVxXawmXQHuiFkHIZdIXpOO+aL0YF4Z1bO7NQ9siURk eQuI1VO/qLiQ6DnibNx4IgtFTJwFgrEyAKnnMiCds6DiUWRFHIN05oszquyKeeyCrPLq7hjQrXrX iUMEG0PYdcQhSDHHEnXOtosVmvPraPg6BU4HTXGCwThW1FxzidLTl3oH89Q9XIwVBn/8lGaB3TZO KprrLen4B1mrmjZBGzJni3RBUwZLT8TkBjNC8MW21mDOM18CsnnmYxicxeQPWotHATquDdHjU0hO EVHK+n6JsK+657vhKXVIjm18E+++xNYWbyTycgrIhFTyaJBvvo6QQNuOs+NZ1h5cLEteDbdRpee4 13yk1ii24uUuYed32Q01x/h7j++RJgKNefaFa4wYJuE7lp8QELOJYujFPX+afuH5GU2X663GTbSo OMrvpiaTdaLXsMG5xThEUwQitLHNeML1/wxxl7kDE54g/aC51oQwyIshorHeoP+UlO16BHIhd4Aq KbLQDK+JcAUpcrWfJ0hm8r1In/64NNV3QVK0lxqZEo7G61CRRInIidai8D8mGxZ4qZ5y7juYmfpx cBPK1d2WFOl0ET5zv5fWiwUxyRmgR8dFk8LGEcp0JPQ3qtFGVpM+XvokomzW2xKr0V3LAW57MXHU pwq2uiFokfdNtUai4j/NG6RSLSNux5Pswkga9wAL8vimCiZEEw1NJMIFsAgKe+4w3vv6aM0d/ev+ 5dSf06dn3jyN/IF/KXErRv+JpXqb6jikAuIJumT77amawwJ9ulVu7BZE6Y8OH+U6AJJtEoVbrbij eAf+KctyjoNsHUZbzKWvZ8fDzFtvdlNaU2ndZKuz4RYLNkQfEa02nqJAOPreNMFcdZWxRjcERj0G lkFYTCvuSND9j686pBKpnRl/LCqYamFxFWlSIvbao5NG6dluWcPmHufuL4j7Sxt0oCAD1Y6NgElj q45BF3aX4n7OHMEjFeWoRS2itGnXEw6b+koW/IjpuTRUXnm8E29ztpK34xHQb54X+4y+iCATlZ2Q pMR1cN38WS3y5IVr2zK2o6InFLPwFUA0paYCDKhuHRHUc93/uN5YAfdg//uIPPMDi+eRK+7TkLWd geTAVXDmsjvIEiEjkhritdPlsGDfV03Y3Fp1Z0IaWlZG7RcCMZZXUNiJ8fQjJ0kGBi41LEqWJzXP lGPpOGnfoTmoJ+Rq0ST7zYQkItdtQH9MuY2emrH+49FnFlsfjCo6ILoNFVEp66fxCxDfI34lzq4q 3mq8gtXX162PdlwVjA0VpgBzVheWj1FGP56L3FbxR3u0GPzk2nnesTXXHxSZTTIEejL9kJGHisUB THzmrROxz4MYIaieU7fO7ULHRWR3bzotfetmFh4AfA8fHY2KuQd+1R99iB98pRxlKRoldqX/BEll CvgWhYStxe8n8KEmY3U+PzYGhufVROFPLXo1c5D0TXfXrlm4jhdb+NzuJJQl3LltHNtZnOebKUsx ZswxosuoJm2UfNDflADgkBpaMXhzk+Y+KJVbKebhX0gtf4H84zGqpC+cle1GTW1dBHTo05aStFEc 8ux2hKUuB3FxJXEU5bFzwt8AtM+45y8ChkhnJB0rw0fLhOjOeK2ynswGiY0foKfnA9vUxgcBesuF HATyV+c0hn8JR2yVahvm+OQWuwciSLGmkeSSuDrxzOTLgE2tK2o4BKVdWttAA+JuwG0twVi+I9sV hktXnbpsNUK7x1dg5IJnG/if7wnoitZwbw+h7EMfFy0eikl3Vj5aD8UuBF+xY8PiCaYlMjkio9rp ZWgBSWt5AYVPpg4zkrEVR6ypVKXTTd6QYVNRs9k9rnXgRt0/4pW+604Z4OsdRxU8r8gqovZbXCgu kPBaQhlC9XMUFxWP1Mc6UZbRYeiya7E4MBe1sEK0GsUOdS+pqjan3dSgRDzY0WZ3PM+xXvO0enEg l13qnVPrufyX9q7qPZeoZ6uXGZHWqLbxom9rKE6JbCnK2iUBZkiccvyz3lpBhxlsNoC56NGWKwi2 lnlZDGDx1mpVQMS5ewKQSZ9M2q2K872bHgQcBDS+GBf45Cl3rtEBeCY06YcpR2PGpO5UWJMaop7r 70eqeH34uCfHnH81S76/M9fuEiHgJgWOFFn6ICawPZno/quwrebNW+WH2y2UGZmdJNSXAYoRUoIG uOXH2gPctwH+1F4M4vSibEL0gZdz5X5NC3vDvWsaB57aRpZ4G4eZAPAqs3McVgW/PeqbGfUnyaKu hzdG+asPAYxCHMy8lBmnJ8QLuAJyg5tb6vuF0fphZEPtvSd8mwXVxq1gQhAscok9lBsGeoHbp9sM kS4i9M5p5pk/c0Tz3xARL8/XLX3TRkO2qY01i7zcBzqjjroZl65bz+YVncVgzXPHNCVdp9FDk3Wr 2SdlN0CydJ64TiqtZKWVRb0/8E8pbONOdbuleLCludpzJ3rS1yZNTU2iltBhPfp7gBGYVJDJ3Tb5 3GytBLrglbfwm0Z23z1i5mDpF2wGcnKcBc6yNG2xHQCpA4qtyWqhVnfk6o4jrX84CwGZ4T3P91u9 /0f+QbSyLu3Jv+E2cdemITtLSDCJXhTP3rLXBfqmJ7G6zqYQoSiJ717/1g+G5qE+zVSjqpGrzmq5 +zoYTjuLumK7yUGVSGWDAhv1QRXS0KtudUtkK1gjyW5yGID9lki40lX3xWBSC6N1pICEhDWwDpQU CKra94tVW0EOnTiNRU5GBagaNooW24CFsvChENgNzOmSwuahiC2Y9uBeWqoY+gkm95ELsznUNo1u rw7S9xsjznuZ5KogXoNxSBZ/OvBF6nIvMkcxoux2rP3eTcPsRnyWfUnoZwEYSpTrwg4RD58/nnr9 CZnpwJKgIltM01z9ad0sO6Ut/D5Cr+txX+I0qICEH9Q6Mgy3Pg+CBSD5s+ax2qxLiCOJ+XJoer49 RiXIDska9a7BHzCpXu03IN9HOCOWAfe/evN/kB0m5EFfMAh3Nj05TcnQ9dpTRIdUO6QsJeKF1I4A JIp7sFzPHGLwQ0EYT70Iigjma1r217jcFyfzZ+U4OnmH77XgE9qGDCsLifkOUaJYhHL+Fo11O7uz pJGhzRYxWMjm+YnltD+jgnsL7YKEaL6rYIf5GGJ+2lSQ/P4BCzNd0lGD0oFzFUMH9Es0JMs8HjnL 3kV70dKyn4uarbapVF693SeGOgOmzAFBEi2WS1e0Lp7CnnWuzXJigGZQqAPqJbwyc3n+LuA2DUV+ LNayt2NiWrhIulvufOdXcEX0QLoRLGACkLg+7mkNq+VSDE64HE0uW1TVHr0xBdNqNkog3cP8eHDd JjzjEQbngHZFuTqJqlZjGCYi9M2w/s+9SWKBcJu7DIT4HnhL4BLZw38wA3skM9OL7RHWgFo2QdJR 234PAi1xntzjzAYENtbcRlCDUYr8tZyh7CkUypH4TjwnMQe3C0SnLqv+0R6vWZE01EQ+IOa5om/t GkZ+nL4K6iSVKxi/txwQzNglqUYKhnVLyI94v+1/krSw6b8ALhy6TVr5g/dDxmixV/KbkMmh8p2R OW/Yi/ao/oyPQDZIXXvF/UmBAprvX2xTGnkHcxVszmA/NIztAI54LhyPV3/6J/N1U4QadhulGLxB fFIyjVuYOoc+E5Y9AFE1M4P2EiVsNYLxIjrhrbXuug7Qm1Ei7d46BXWXKQMHrqGoroL80Zg1H2fa Ywx35vyOyzZQzAIbJ/WHPW5zebS/QBhG3jvrBwyWm8oGGORafcI2Ywp6YQhwWrlW2rkHXFJeb9Or zoqmleGS4lyW3pO731Cx91XYf7wrEdUpgv9qjIthhT1Ua5eQ+8Zrv3yCid7TCK0W/bdnUjHIeMNm G+4RbJm/Z2Ui+JDspXcHHHSDw1nW6UfIfkz5ei4lywrsKY6LMbVmNna31RMhZMdVzAf+t6XDJglb 7M3o3lBKEgITud8A9wc9ZChNKxg1Pg86WFwMCCxQeRcim0GgJ9Ezxtc4qY1wbmDjuehuy389Tttw WyDfzeYfO8yeJnxg3yOhHYzXfmnrMfa4CxityfQa4tp4sQQM7rTwXRooicKmrhyyAa6IC4Doyf1r TeBm9N5iOx+MKLlWVMvVfFNZwJEpKgigLxI446nEKvWJhKHJHMTvhHoLiFZMk4UsPdP6pU3vB7L/ 55I3PbgvbMWQHnKp0vaziYUnrfmlVquY+L3TLloOu4TaSnGVr68ht41RJQBR2EH8CDPtXpYnxmkL DRzO5OGS9YsxAvCbmW/d7UjOqrbq8NUTknSTsKc5r4QM7b2EbT0RVcjKIkiDgOQvAEMTngN3yOp2 Pb+w0xpxwlSicGZpSF84dBlv8odya4YoLJxyZZBEuBZH4BikiNSvy+63UaChLMV9KoR4SvAfz9ES 5NfM8izfFAjBgjb4WCjhRlnk34yVSaERsRVws/4Lpk1OcEUglvmyi7Ra9PUBZgJFjfBXmxWaIT70 /Oc38cswCcgsOM8dA2/FSYfLM7MuA7fXml2xIOtFR/xr8l+ADGnOzHMekiQM/T0cwWOblpk1RGx4 SvkWGPQVpDXL3vYgdcbcGU038geHTckvIYzFlDeHj6G40W0uz6KLe34HdUKFxajPJpgMTUHMu+0B 2gpCH9NKR6/ApBV94+GsV2/ul2L6xMaZ31nItNLYNMvWNo66sFl6eJOxKPCcpunN+UrgpbSotjKp +EqEcWXDyruMFJWwXmm7lVrFDeL79kAbtDkVtaapJXb1psSxW0C9S84gErmEAXEjzER5wqCvW6Z1 ErazhBKRo4GCwKnQwc6nWD7lnFK5rrF8eNVLM6DZbv0/ZWJDoRt0XrMNSZntr4c84joTYLngzJDK cPEhZWvjpj9tosU+EkvHfdFc32CgyPqElJ6jv7aohKA8qX5o9VOFhwQor+0P7MofZmHU+RLKHFuR wet5idI69mdgKAy9SVm2l/orDZDD1GxoY7WaRu8gr9o0zirwTi+91ZuifXSobAyMxmdwxZfOb7cq 2u/fl1SUroRTU92wNmIL837UmwZFderGX6U/pM02mOF/EPXzd19uAndmWqO2I76KLJ6lrgvCEG5d lBCNOq88PJEflfoPdaAlZcgAWaM+X5y3u+idM3zcMfLlbGIT6xQGxDOxuetoKWlaewVFUFpyvWyt P4fZCwBfbxKq5Zyy2Uwaw7++nS/qdBvomdqWSMypJC0uC5dR5M7vMrYTfwRae6pon2NtLWGba4UT RtQ0TgGUd0hinFmglNQeC9w0zdw7MzgjkaRr+jl4XV6KxOPVSqEHE2Zi5W7FeL7eFVV5CyMe8cFX 6CtwLVuzVDg68gbj6QNHpypi42pjE/B0oXDhj+d7tTHr519AuauecVSikq/FuWJOKtdGeitOReo0 3H9L7V/0QEz/F127xF/HYYyCn4lCC4WWTEZWqjwhPrWemCz5VUmBP7RrayteQWGdOddiydII1Fwm hk7oE3gCnEDjPAW4ES1IGmQVO9NYzIE9drDF0BGCMjcb/f6EhUgw+//kjEBAno0rQPECqHsjdtgU Zd9oOF44Z/0FpXIVSR9L5lNnAuCyei6vEt+RCy1BxkSvY5luNhW/stlQTyxhCLYZP4BTSza8nbzj 3BGjBgHRgTeaBJwj9AIZ/+5nQQYH20uGHrQJ02ssoXDpBu7TdLWlod7XCxJOgzxBPDENwQPli119 09tdoopjqpjEutq3fqZ0e9UvtLlmGxG7CC355BBcieHwRfQNOrNqAybEzWvk3uA1DEm4IkUPdg4s dAQhaDSY0g9rh0peHN/e05pbtC/Ox+bwU2zi8vyDtVZTy2Q6l1xYEuOORaSB2UiiuAAtwO4CYY+h ZPUdr+h77oVAHkbGshd3Rbyu9hRZJhVkUICk8XBqrsY6yL/U4gqziXMCSkBo6OorGLy2+lMEl2NN LGA8BA3UEPFx5CWENOfun8sHlpYIHMzftQlR8rQ4UT0ZKe8ie5RYe1PTj3QFDhIYvqzV9fE7toqs xeN2JLFLrTGMZ4OA4tn5MSqGD9BNsj0ulw8zbMGByeqjUM66uLNzv8xjOaXjFWd9B3KreC1ReDjE shKXmzLsCEsvhZlUKq+rj5gBEyBDklqLGqVt6pmIsnMJSmkjYGrVBZgUMeuhGlXMFWpMemuliOe5 KbWRy4d6TWnQ3PhgfnnA7PkKxxTxSZfXVVL3DLZb/Jx5SHbnszJ1JMHlpqmFiw2Q7509Sso5Bgxv wX/bWqiYgkKyHmfMKESF4GZhxwQYVpGm8O9hb7J8+HYfCp0eqq4N5L31woiJM5CvwXhVqUaT2Y3p J4R1nw5HOKTVWu+Ytyt8u7h7VorVUmWa/oMtsOch4hNLBUaZHyoe1ieoH71KPznFGIn6orajq+jP fvlEL261zWWPzTNZccqYzi6JL8egEiF1IZDEkSltstkPrpejAjomAvBK5l6BB+T6cDw1I2nNmAAZ CnExQknty9zgWhuerpaQqfuciTlza0a9ShZnda9p42B3+SUiGRcyaRrXpo1Y11urMiwh+54on1VY ULsVl1/JL8M6BltVksfAxkFRHCDNt+M/fiSxPkmpflCz5Wa9T0IJJLoINeFZbmkIpfXLh0D8e66x CkCXiIvJQvZVn+S85rUEaW3B2FJpZEr5JUuKZ62/pLqP4oW0jPcTI7Vcl4kmMIygrnoDBGIhSFDZ hl3o4BriZXivaCKYTMyKtmAKr+zNf+8bfru/L53bMY+t2RA1LSOBSMaSXsWMd5WGCX60qVfhmVmK 8x1NkmDhghXSfg6WO1wRNkQPrgLn/deKsS6CvEaNNKnVB7Cak7EXfq8Xu6XTB7cbQ/AUWu9XtTUH Dq52QSdlAd2e/0CdAOJLkb72qx+5d17AznG9sp2LfKubZuoagkldD7bjKtmEZ9hupeY7M0axLiOQ M8iWSl7LFV1RNUcyefpa3VMoojOc5lwCNpxxTK35fZ01S/2d83ue++rfH2ZXE3f5tHVN1RRehAvd DC2CXID4W/1PWmjNAg06H6kmCrgEBwO6E3kkJq9pUSGLdQKC9/hTPl+mDlIJK54XUMWh/7cBRoRE 9eImiZh3A8tlNhdtmbJ8WdIwdGuaTPEoeBPFPQIyzD5qFmzOk4JNcf9u7H4mwTAT9dZ7HXIfBGIm 27XmtJimuFahuAsMnSGdr7Rt95OmdFrwlknjN0FBWNUfmi0fGtbAJtK91rqYSwFFqImrmu/t7IUr 5i39UlqkUzLktkRjgJvEk9O2QfIlg/kN7+cCdv7GT6WCIjEy8QnDhMfGn/Z1J5pHjHXVR+GonFsO CTHy5K8OEHbmXETcbWlIa0iLjXeodxRanPfNHOoEZSJBsNw5tD1JSU1cCFf44pyjJRXBDsOhGCtn MZQ+5qunPa6xTO1LSVe9aPeCgqND1h7ykw1FE8fhUeW+bDpG9riChFPd1RBlb46HMfRKj8LwD4aQ l5lQ5FG41NQWuc7e9DqxC62c/bWndGFgKRMo/4ebz2aB9sLqDKzXfZhX53Z4bB+Xviko/H3xepEN lOO+9vk67objM1plpvdC7uUa/u3UOgzkj03EPhK7gi1x5Jlx29owML7TEGcVYQn76L9Hov9k3cCP VhE+j8eSOVx2p6i5Le9Vg1+FAZ40+rrDgStOjWXol9Lophki+7uzna51Z/M/PK7eVMeIao2dM3X+ CN8kcdpzw89uDHmK3BtLq7OZEXlODEavy8vlN0wvw2SPPKA06M2ZwZYsQQ/kzXPph3MwRgGdlLRa 0o7Ez9AZfLS6Z3247uVBXj3MoJ9ikjzHomnQt9/7YzOFdQ266ns5RB9xdJo0XtFGpOCYvUnaf6R2 qCuIW8UKAogVcDItd4tQUQJ2UiD8+eazVgltYvOYk3M4hFTuGZLxHcFEuPu/+6H8EJJZl4W6eCnH 0kK5dab8HvHfvLm0jSbOgXGDSi0wXMAVqtDj0p8Y5vii9ABlipmRNTFZKQGCqz6arVzQbf56murs xbRLqwHUx9yWhJ6ww540N0zxmJQ4iNPVsbIHyrQ+PTV2GWbTOH9Iqz3TSWS9WffPMD0Mm2XEx8Kt dKEcShTVRCqsDDdqDYALStUTGJLVfxwVvSHgwVXpHQa0Zg51n7xLGm+kwGN2jV5WhQEscd8fZT8Q Tkc00m3y5HwuVpEOc4i3Q7v78ByjI26frK8T/CCyK0QykVXjaSkF0q6DQv2/NWyIPoIpF3JVeMh4 rMZJPfhXAdzgub0HbXbM1k1dJbceeN7HFK2APkzYuy2Ww2FyCu82fcLVAiMCWvU4IVVaGe7+ryam eQlHgQ9DqyF7XNXb1wibl5RTfC0D10oJEQHofu7yUa/+PXRj05WjWSMVN05UKqDW1Rs179/2r0CL x0vJ77lkCgA2t2Lh7PhIMqgYJ4ppl41VsgL+AQvIhWBlMnccJDDfiQwikb3iy17C8EbCQU3nsL7u GO2fMS/SuHbUmtr1qU2+Y8rix7QvJUa0/5luxJs4IO7wG+wT9W8dAoEY75+ZkHfRQYxCnYjPazpu 4JnX61/1UeOYJMKUoZdTrrfcIik8VXFexrq/wtaI/rwRtix/WixCK/rtFjVo0t/ePRaQybRs6GJz BnhkkuZW8MUGQyze8F/u7/zRVf+hb+emDbL3pilZ7f9TZMBJLfz+1looYfgXIr1P5MJJAQG+w5/S hJ7vumtpsq+EgCkAPEIstGrbnAb1RNOLIKEYAYUnf+beGjGWASW3aucROgcTugp20R79T3YW14lH +vcxj+9RbDP5m3eMts95QeTbGL7nU9Nzq4UcU+PUGc8aJhWPK9ASlXybeEoEw6Ga4VTcyyW2vkKo mqmOiEceZqaoh0YplHGCm1KbX/ZFMlIPGRkO5H+sH8NejxUvbfNPbYi4r2vKdGQhV0NBJB+8inDt VGT7roWY6O7i6NyRLi+zNQKRhQn4iuShfMwaYbpFM3msWX1IURKE81NnV31mRF3lDjukAYeI42Lb 7LkbFQnDGbMXGwGsaWSJl7Itr+QtfdcPbPmYvqYczskjqMfBfID/gIP78T0Xk5BofmKZ6XnEb3VZ bMFpImugcIRpM0UkNHySII6XToFOuPbpf4SjyoShLh74WCVUrloHizF5XKUVF+2hGpC7CgMJegaW yWt+B2Ie8/FvAdKNjnr2whuSLd7nDi6KjlcyWlEv6byRP53GhK48AmskV+/2KYfkaMyizOo6LfP1 JFnD7BgMCBtVPT8SIbvGK6N5bSEpuYp4wiRgeqsRCIgLOgJt/bxqTSGFqVp/EMTtXkRFgMPFSQ4X /QsNkM+kS6fnO/kkZqHmXTgiL40En2bCn/YfVid68VrA2NZTOScCOuUt3HcxEdAK51UEsv445ug3 OU2ftMfcBut9UcCV5ocChwXO17195Q0b9u09557Xr/BGofVKIZ27rNwrPrkUoBAu7NCDGFMwyplw r/8ix/CpuwGDKdTtEtOrHRwo24aLDlDeUlyNzHOZlGybYoDzm4bae+Eac2bcKipkEkwIo8Ke1GJh THoAJY3+LTOQSSxJjEUYd8LnUQ/cj0MNdksNdJDmYHBkfz4Aues0HMhL/L+9pYFMYjtW3Ud/XIUr spBEWKYorJ1QJES26gaVm9HtHszQh65XUScOuI1SDEmPtcD83XtbWc4uYO1h9/qwVpQW1FMLOdso hgt+DwLMyyWnDiIhQhhaG0UIKy43Ig+N4YRqpK1M5YDNvL3HP9bRg50wOwV5QSKG1NniV4nbz0ef U0ZysS/9TYbNzDDMyLxyiuGVLcLvU4BimIYaEh1Oaf9YOBbd+0mCo5IBpett9peWFxQkKJ2DamqF HOn8LZz1q4TkuouLBisjfeXgCqgWAlaxLVU0Kd0Ax6NrO55HJJMCdfugyPozgntaHi1CnG4ITnun R1bOPYX7d6cLLpbFEcMdLf0jSc8ssxPJp8CxgklHn1PDxMjeBv1fo/oaGogNR6jo+JXjIzs5+hZm 1EpY6/6yNFOR52+Zs71KDhiUWqf6M29Ywo3kHel4Rf6evKtxprKLghVyd60zl1YcRIKz+6g2XxVo H8Ye2GnzSOHdi+kJYcfsGfUQULAAAesvRfvsiWarFKJCtIIsq+7qZPhJKUMPD2aOaUg5zaE6Sig5 o20m+IKoXYNZaIdICccPpBVtxxoGXZPHRcvxWdV0CiR7tS5kSw6IpV3mELUOpRuvqAltgR6R2SQ/ KvpeMh45QEaZD1knVV5FhrSjM2XsnSSSml6nVkGOv8Fcb8qhR/V04LTyXSqyzt/YRxqtZossyjpI Iixb43SIaklOSXHjB0FS2xNqs8hQkUaUNf3zfvHV2moC4khq0x7A1XaeHsPx7HIJOQojhotcWzkp jj5Dl5oRDCaudp2j5zUil7PpaLWZsDtzzD2/OsY5X6v56GXGpaqWXsTZgI3kIQIvISDFZ1TPljRR 2X3acs/UV4VWQXKUBr0Om85z55fA5qwLgcD1yDeeg7ir8gk0dC3xNYcMY7fsEHOLmN4k2+MlMv86 bNJkArYIIViYC/7C/zNUsitiP6LaSgXULx3HlQuKnOd3N5KazHpkf90Eh+BI/52DGrskt9shWsGu wbREVrOZXOpfbzsgPFLDHmYBz6tpZtYxQqFnZPnbJloYxGDt0RcpK9La6FPU6YUzv6zTHTSSps5v hgNOXEESiNn0Ylt5yTEylfdpBRniEhzEXzwZWB3qXy5/FZR8X6TIe+JI8t/DLu++d2GuOMQ9SUZZ gtRdrh+A+MzIJn+bIj6bdVa+3sWlkJThCm7gj65u/BTpAGPz8hcE0Qjlrc5BJqpvUBiPdnTvcteM DHWzt+szHShpoTh9GAvM0aLKvSvWWWKiH2iw/vtSy0g8NEptIxHMqqkMHX5w9EEEQc/pTpw1+Xzr CRTuLnBiqE/P0D2H5QzlpxXNkSmg5kMWnJfCfolbxEDM6GLoRhBmSVbfJ6PuBn2RiMBaNfwk818T ePa3NJF5wQaPhT1zJ5HUHIZl553WCB65yrpzfLHXymlAEWyWYYZZp0214KmUamx7YaGC1dIcyhNB 0/zx2BbQNfF4OvjdUQtWkMmmavc9zUqX1jDELlIDge3IbhGXYVlhqQM74Dlb7RoNtXvEOQk9RJ70 S/AK7e5zf/NbcHeBG1KwXtdqtWfFV3RWVQuromqG/v6bK3iLFZIroW9+U8iqDI3PdqRra3X8qYYo 2T+RqMm1gT9GmOV32FNmsiRRQt/GKGRR2ULIbVSxD3XANsN09ILOeuHsQCp9oGCpKgUaamlYyXn0 z2jkUl8b3HStjUE4Kd2XSXrpkEwa6ClBuFSYx6LXk/AE7LVCujwzW9LK4gpemSDwoUcisLK3rM40 RZME8UN6nLEfXPNrx9vucurW/sIqLAg7Xacp4DlmlrB/aQahL0gZKLJ6zwnEN2NszjVzXmakEwBb mB8uacpfUWoLcrHMRYSmWeZDJoApzEAXqyLk0qZQNrPvE9vn7t8rMAzgCDp1HqBDwGFMTDEEOU97 TrBxmzmV+Vvyye+6VCaHnjxOP/Oe2jXhJZaErSHqV0d6kUzCRFImhYe+5cmG4terHJYBWVGxSuCY kVLwuMULpizxtmIcaFPPx9IQLcIh/2AUNxR85sV4lPASMkr1Fmi0WMjW/6f3/7LGjFcOO9ZeeBid XP+rXsHp4URQ56l/alCs2b/O+TvAyNpXSAObZX5g8lFJQGUw3xOzWiM/w+b4G6szuaRZDF1O2tJP JQh1rMP93toRFOt6Y+FaQtiz2clRdHG6vuv5pgOF0tjh2cCo2Kfox4tKyONTdV51wRROHU5O76TH LonxpNbJXjXMQpzR6wKK4Kchv8LRcr0VHmnZGTbTU0RySbkByjG0aGlqxKMPPnFBDzL0sTMEenQH KlnfMr/Uhk9mDL2VTy2ctJ2ig7rje4xymOLkICbxh3pqvYqS1wPRPWMoyMwTxFtcMlntM/zcIf+e UMlGjkIk1bMFwdFLy70rw13VolnmsSHggZmN2iUayjDkDXjl0AQe/VcLldIDuf1uwAgRq0bBRTp7 7KxdDIBRTw78WrVZToBYRES4wAa9bWiYm3theFqg0gNSnFyqauuR7zh7PRz5OMhvCm6kCvvydr/u GEgl8g/AFM480n4WH5bkY8HkHwpbvfx4XsF06DCgdstOZI4wIr6bBWi/vChkUBL55Hx+2OnPRyWd wMdoUJTj6qirDwK/XrEuNy5u/aw1OLv3xzWB1Us8pY0YlN1KI+MuA+ne+289BG6arlKBy5KcJZUJ EUzNZ+tBQtVvGf31/t9MITgIIA+AM3Kuxxb21s8ojp542Oi7+4pPz55UC/tB5OTmYYmIi3XnxY1H Nszt6BZZPn48jwKoPxqamooaOPlF+3XV3JMmh1e9twHEiCbSrCwgkpMIFdFD05oadYq0Kj5ymhOF fnHxoMRP6iESWhj6pv2r+/TEtCU2IlRsKri54o6GLxEOzYF7EmxkS8arqADmPYidGIwChaWPraDC ui8cAHRuACVCs1jMe7XaCIPyfyS/nHl0/C3N75EYeZSlC7L3U8D1G6wYrBVv4tSgvQ+loVdTpjrK Md5tRL6AkTDbdtBC7rjLAfp+Yi71HAYjAEbA4FJl0lWiEFMPthF9t9As7RARoNB++gw7P1jdg/8b WRrmcJPbMF01gysQ7U2cfeFNrqaWLeweJ1rkWHXeHPmTDujIMMMwLPuZNtU7YvCqtr4ArCwuBJo+ Sb5XUksVah7/LEVHWtzMEgO7PmvzaWpX6Psm4e9yKA7t4+Y/volJQ6DIejIMvK1XOq6i5k1gKUCE 6iQzDDUgqYMnBGDyhk9w5FjpamjpAPNO9JYfw4XEfZdug4+wVn33nMXjtv6gp1R74rUKs4oxaHtI 3Z5GmAphifxbRQBQ2f67w9OCkG3hkQ/9mchGaG4A/nQtbvUkLpa4THg48cnbv0IZEzIRj1TsOAeK EHCDCvlD4mnT82n75cfghuV9x0kYdSbVDyPGheoimWEgDrjLRAjoxI4xIzsdqLXC5f4ZqZTOMHmY mvRl89BoZNfzvvyvL8TzQCjHDNFqqhwmPfwLo3thRr8Ph6dI8H0the6eiQCoYC3GEdt8qego7t6h vMVnj5Z6U1KyfiQ5du+9CA0C8vM4+IiXrMINzn72NJ/zog56uThETgJ7Yf8aJkyQcjDvcaBnVljK tY5Pw7XWRjGk8YR2AN4sF+jdSYQpRq0p5/bNjjTlB7pV7nrGXJqJw2z5fnX8zh+RU6Ldowff7HHB iayxE2eDFT2YFqKqs5xKmBUlpn3WwFlnYeznWRHaZOwk7FuB7lMUS7YYFyqwHg3vkdgXc/hYinme +dcyq+mwFIJtUe8/RUcCW19PPgx+2xfntez4vVRGfMk08GRmAQX4mqlw497JYskkHww5aiSSDv8J rki8K57mc3vnSwLmB6XwxshQR5QiZek6I6yqhvL/otT+iojyibfX5XA7qdXzz5bpLigRZA+w/smp uoHEtpKkfqZud5jjrU39oHXet4MqEwS4hmdIp5YwZ/g8qGKileHgnl2COiCBxrAKNVCunA1/Cc76 Dlym074BtakF944CVyPsG6KktZj9SjMQkvpXtSE8A5MLsIexr2m0P9VpS2UPB2ECE2NjNnD6gWuF ZJpV3lkWYv9IXI78VAa1EG9CJ2iANnO5b1iaa2nCg8YIEC4O5JMxiNFVADtuEmtzscTxBQkOzG9c /m8+uc/LICwh97eQ5a7e+OQ1g9kVwkmRKeIyZWXgK4J6HmBv+fop+gIyjKNGeDqFv+U2WxPhdKNR 3mQti912FL7gCntUhrXPRzSQIEKMOZCrMmla4qQEaQuzhHVNk823NrsV5hQ8i3i+aM6cDYmkzOSS GyFimkgNeSDzZcAYb/0xHgh4bkSuVGlimRdUFbSp31/ZFPhXPqVgGtidFEJo43VzXMnh6W9yYJ8t cquyV/Q7pcvHX5zAn1BffDC0mQzUOfhuFIi5YpOmD3m+ueAh9X7lhUJOhOdGZZEnYoryBqe+Afkx LfMMABrQ3/IBZSAn2iZ3WBlxs/9oKT3quq0eUdlv5dY4i82/d1bXacGEzva3iSuctSVMsM0MdEKV 3B10/20UhrDYQNuXcRkLNb6mKwL1ALLX8aKOm0JJDuPU8qUHp9HMzcfeVB+9or7m6zn4BXtWf+pj HTHCXbeOLhiJqOZAPAg8uPZVeogFnHIvbIb34jQ+MCFw90oXVHbAxgxNvGttbo88owxKuh3kGEcA gDnUAUFQhxtdd5CjrLYLWwUPsQ4miSLVM8gKcyJyRlty2664MocZUnyrxTaFQCV0Pa1r556Ccl09 Voy9Y7IyiqgcznbpcxOL6po7MBlaQGq/pbvGBYwSmVzP3CC8uiENebD0AiaNXwXA75BDNr9SUwcz 1p2rQVFmDvmtGsqKceqpNPOOPpQx9cGL81JhWWEc2DGIq9q67VjQDoXdC8xGO4lSsvfRw7hNUcSu fYJr/yxBUcWyJOCb/S3wwYG7k2Q4rV1wcIrsMstLID+Z3OvOnw/PIK4sz8RvtFe4EQayqnt7UlTZ 1W7RDn5ch2HbdpspRhkOeA7ZzS4WdHfxc0jGsRdH+C0CgqdRzFFPVOGnGgC7sGMY/w8R2FZDvthk yfTeTxPApod37Z5Hheskp1mCuOojFCKbiTLbVPoyLdBCXUxUXLR2yQkaMeAmyqSwEqd5/EgQOTUx /hRrX+oTs0M79/I4T3kEVD7l9Bs+BFie102KiJwapmm3MLG5M3NdXS0+O3PF3Xnt8ROQoHgmy9vB dZVCByw7SxKiqAUO2i1FyBvOJBrks3zuBLLq26Monf3PGZQ/M9bswfgSWYn6AC5vzFL4t1T8H9OG v5TSl3DAfMW7XHWjtT7VeC1Vf/xTU8JM1agyvBDpmn278rW8WUtiCBkea3xqyXFLcEqfkXtGtMrZ ouNriKpnyUiqlXmHGbtTlAAeCt61vX/rlxPVVOWLrKZPvGpfyzt2GkVATi25HIBXKH+jpbfcyV3J JrhBcZqu+29giSSXmnzXKVObWv8GrbDo7Xlqibcsc170PHEGMyh3uUIRAOw7r6QWV7oeML0XX/z5 +wS4MiizrO2gF9/46ba8gnvrAw9l50SSAZMS6lJOwY7GFoCdsYa4rUkQtQarvpeI0kyHHczeQLm1 uBjbCPSncl/ehW3VOdtuYUriZABQGtq5LXrGlKRehQw9JP/05NxnZkukcUaQbWHWCFFtwfkDcjFE ucwciSicwIGctfJCa7lZHMnQ6hkDENOYvuxnZD8f/tiC6C5QCCrqSZUntk+V9aTyZOD4I3LBDNEF /Kn2Tm2aZuCM0fqeSzyh8lb7b45jpHexrOjC+e+YsWAQOPeXVZh0HMj08Zv9u3XQQCOEnk1ruaRS JIwG75CamyCjPsCWugCjHTS8Y3MVSCsgnGsPIYWSM6ipbBLzPrn1jF4BJ+FElRcg0EgsxHH4c2yn Q7eSBatBP1ffO+fbDv/G/JH+EfUz+mPU046JumAyN+/L4CGtqqUxWCqpG/TXFDIrxqTVCW7UxKPu vPinrOoDqpBo8wBtnMq0Emh/1KPmJCoMFxIB42OmBW4UdAp6fYptjd35fLwJ45Bkoc1nP+ukxj6q Y04ALVCnygZDfVxUAjcvIAHxX60XvBH3gOV2RvdWr+PQb4ulcIXifLqanibjaK+sxvbtBjap2199 TL7VAZNa/MIJBdx9QjK1A8hKj+FpkYhQC10S238vczLN0+42lulwvrD6jq6LcAROVF+/bMJLlAzU spiOXpbdlx0N6Wpf5z09qmuUnZk4LdJzAMtmUs4HahwUsMaEWAEAoj6ui/bK+0Ck0A2tYZSsejsF 4DtfN/g9qvrHEzGkrWaBuOiJBJ47Z4fDoleu23hBmvStQVXXSlcQYBm81Pz+iJmNSUcJOezKQ9Oi 0JD3VcASxGLL9TkGOe7Phul5FCGODtynkx2NaUAUyi8lnrgYGhb5quEKMcnftBcZx76OeNMJC/TR d6on8oMJowh11D1XTWbecDodMNMHMD5i7u1r2LiJXoMBvClROMZVHY+nGRBr600YqNEFEuzO0+Zw U8NhfIyZ7ffMpT05B62IL9zBjAxI8k3WOl2w4oBX6QUMoyF6KWloR5Ac8e9iIvC4M9PdiHG1s6dc JJwKYnAlbZkTJNf4SqcOHpiCd5W9/vykz8Hnzj4opum9jgD1PgNPpH3rFSDqiJp1pokYsCbSQlme AyKRO7tA7f3ewyqwXwtGkEYS1b9FPYeTThEQ/7rL+MsogvU3WjK8cBIVmtDZqai6gIOdi/DWC1Ym 1HBamsGp+S//RAJHWXdtwMDJ9LLirts6xRbVZ8vxehV/sQGuTYdak+4ZGd3o8NLDJvk7cUH6IInm grK7gX+ikZQZQOhgsrc52p8vyRprDUhqk8TsbUggn6bF6NAMPrKlGXZiMv9R8tid15b3U1SHGtXm vlT6rpvrZpWnbW2YctdojBIYfPpyoGcgqiOKA6WzmCSqCJNTGdCtRzd0qYeUcmDsjw8XEAPeGoWo KASTu8LTTsKCrJtpi6b4uo9ChyySOQN0Qv7KUruZqmeS7uKgtd2DRbsgb17Mkg6a3NgST2CuB1Ky iH7d+19cNivhEGwxtHRzUboHNncyXhilGJYdSHm1fLLBYIEJavq3jrgP1nn4rfYu58Di53bbwCza aqh0AO5oIfsLvn7mOtr8r1/K0OwWWQFjC9/WWPqUq9XFHNoBjp+0uQPT4MuU+CXvMGPz/iPtmC0D SPSwcVdtadTwas8Z1QVKbti/5fvlW0pM/HvTKBgJHwbEuhTzm2ZFneBnaopicQHkQkARwmfKCZOj RV+B6IvRWxUwguDpHbqYRaj5p4lASR8qlmEd4PqTr+tSyY0SE3cRXxE6zQgo4lPxsAmSS1nYwhCj xr0ud4OpgmgGE2Qr33kEUx7h8wfbUsU69h8id1NHzITUJdAylhJkJobNxpdBm5GruQcapB2zEJ3E EneKNr8D5d3kv5kFdzQB49rSs2q3+uKVocKuZOoQYBJUyP3Z+WDHVVChVJaLq5oU5NpNCcWwXx36 o3GePqxKQFyo3op2kmTIp7eTfBFXMLA5NtMinAyeuRabqY6W4urO+kpJpvBCWtO1AbHC6RQdTxnV bEcRcQJrCUoa0BkuZkFa3MEa5ZX4mJ41KFgG6fr6fC13JLsS4MlR3vxs+HtuwE78YjRqM0SDzq/q +wE+gqiL9IhA8dVQuvHWsvlWK8gLJXWZiC2bLfGBmJSuch22Mx50Wfll9amk5UHc0z2KnaO/Wmnd 53f1tNkpyqyLAlV0qadJta7vXCkBb7at0cfNSfbc4q6GuzPbcNj4ndJPs0J3AHd5sOW1Y2kAvoMv 0nJ7YskQIbU7IMsOr4TAW+z6X0r0ozpB7zorTxN0utB5rczsJouHdVq6FSiJi57G5iQWR8r6wyO1 rdOISAbCBWpgZCP66Gxo0Q1JbPZGFtAKiEuYeVukkb+M6AqvCuIbN2alLnGE12ovW/7i0iKgiBB2 +3u/sGcFnbgLQY9Si7IW4pMBc010lbExnKBP8WVPihlpLF+KJj2pS0ndRv3nR/twQwWlZNekyl/R 0Ho94a88CGNqxLjpiqtgq48Wpn1kNgqk4ig/TU/BE/5A3jxDw9YQ8aKitqYmhu+29gaN9+MZ9PzH i8tTq+fdUrMkXrdABm6RCB6owsPMUYlncAKXyUe/QmfJSioLBihw3vxYpRzRXyejIBYmBGu1zvFi y23htcg5sE9YRzgPTy/Cutqd8fwCYo+5p4s0iCFRN0b3IUpVJzdcxTFgikZ6weIBW+NAcqJIs3Ux TKnNcqcEC6V9phsD7AW0kZBiP15MsNNP9l95/Jxdm2TjrFmZpNLbJZhh8e2gztE7UK/n0nMCKWlt I0aihPpgq9haVdsbIZQ9ZfPvTK66ghsRkdaazZbaGsrgWFLCIS4IYoc72dJPmMX17K7S4GDr5pb/ 0Ilt/WQk2r7kulUEFuiHb8WH3vP+tF6nxIvQi6kWGF5wEXK7pYdP+lDNybT9zqkOFCnMkPy9DGcc 0Tua3JJutm2bc1j23bB1NkscFQY80JCn9aF6//y8D2Ua8iqTi3AbKmMY6ZrZDSN8KLE8+lbnvSpV xaTp8vQt+mtdzuqXOFT0Zm0zm5KHbto723GR2MWrjPzZHtUtcS7q31jzkNejiWfAX21ZyRCoqGWB wUlxq7bj28WKCSYLel0bNlfWidD83yUR1edcDq++owZngm/sXYgTyjwnQZJ+iTMYxY5ngxH+VKzr gXEU2T8wVZWCdJQPTV9Yo5Zm7XF5q+K6FU7RMoNXTGAaLcoFK8oo7jJhQ6O1JJ2ACOYnqGpmycro V3MvXm5/mGCBKXDgKIg2YK/NhJ/4J1aM/SV+wObRq8R8wvOlRZreQJFaPWEvAGGjvOmir5gQLTTA W4uAiNXFUvXe8iBnwIT9DiFLlYrnvISGLBsZi9rgY69ZF189J0BevLDHOGHkT3Dc9L7ctdGMJpCS qTBkNjnrc87+xG8tcbCSpASeDLku+Dh8wSKWn5pzphRL8SDEqMrelYeadH6XtGxHckE9WRzvbaP8 3oerRx5yH10gVExMdV4w/NzHbY4dG9nCGTo6WT3FldCFErxf6EJ4c2PoVh/VN6dHJvZqCLALfNSE cX9WiBkLoR6uQaPjwFfJ8NKeISBhm0Qoq2Lk4Qr9tS27nkeiQbyPUE1x5Pi6O7e44eajjMBugWYl +sWdxIaOJcggq3ziB1ql5NNswlzsFnKbQ0wq3hOSb3UqGGgyVA718sEZFqReoxzGyqBULyzAnSN3 YozwjcLOqfbSAqTdAeGib0Vcoa9nk4jNxvecTxhgpXcnsSDoeQktkgwD+VUeHWSQyytAZSTuLPLI fj0CFVgQihLzy7pj9Qib/Md0jKDgMpVGzbKc2Oq6yVYIJJFF1oyBeMAeOIuONuEValMVbdawzxFC INMOZGXG/mX//pdPGj5Sz4mqN7RRNCqXggb0D5aWkDpmaI4omV0dd/ZC5DH9T14ASkOk05Z3tJWF v//nEzwP9O/74gLGqM6hPkw9fL47P8Emd9O4bOC4G6FSCb0li+Rmpz9yNJfsRK1Ji3C5sr8xxF6d xoAfcjeksWTCYbfKjuOZS3QzaHDvqUreL4sLBK1jASsXHQVs5fFkkQ+QjV9vPWSGy/w3UhtyxXbw D/5Na44C7Ibmz3hK2eOmYvmy8Eh6uJZbfStI/35yHW7uxnPTBthtkGziWIwhpG7WzVboPBwoZqa7 Og4ZYmC1WMANZaItelnvS521BDKu2oCpuPJT2TBsUXdJaVAMevOX9xRDwSGq8HhFkhSzORiAEIll yg6UkrrYnSmp6Q7IAUho5f6WeQ/+jvVltOFA30lb00dlFBkiVWZe4AQC0ocmT9lEKosRLaFPzsBX nRJI61qRfa92CUW55O36VpNvhzVTkhuiVQllSzyFLz0NAZOhHgxuEndMLyHEwVxsfTxfVXu+pzOU rEr5u981Jj45pMtTUhVmJZsidUBuw4nNz0OFkv9PRaFoJDMoZPlWix4BJbv+bpqqq31SDK5Ae0S1 1l9RAkfVc6hlgzpRHAD/jBxgCCIWj6c1Ydid5Y94Oq1e2XJodngkGe2taWNwUBBt+TsoWGpFxLSO 73dk/66cYw9uOHtKkx4Gqs4vKFYNTO6Jvfh+HjC57IbNwq5AHJ1vLxJoITpGQmfTM7y+sInthuUA V+ZffmS3N6M6ZYNqE1GXzih8Gxb8uLl/7VLZ1Fa2J/mpWPg1fCCcs3C0OIOz3cOHXHYpjlL0RSb3 Cp0XTfwHNSxHsxwf6n4AizbzxExSoHGZJ1cTLk/m/Nhzmjo7g78vHtVru40Yz/mN4H5EMEY9HCbC n9NqJRL2MgpvFwZ6oHKZ+Nmz9aRwwHqMrzNLNMzsCued3vC+XX7YDGOVJPUD80iliq6nyyIsfrSd TeAzCvzSoWfexL0ovT5BBo9PvWc/WVSDqM7MvH7FyphoS4hy09MxPSvCtb0bWJU5O9ujx5gh2ldL UqferazJjIvGNdyEG5VeRlxxOn58xWkv/SExaKk53+Z9+6L/2U5RB1fvjfL9/Q/i7IbHSGl/MZ6R d65wXQNCUpZgduoEl0OV12IAqXyMlsrotGFMSRrb5zOkSkPqiu0TV8YTjh0xOVB4UUrlgmlFpPbz mjKcCmSnAHLUaPbKuO9EQIoD5bsFpQoqKQebiyaKJd/6kvP1jlD4Jqc3UKyq0Xa3umarOnqCaWAc RlhTx9ODImoMBb51qvCc+NtgU/S2jc9Ljt2KTKuPIn+ClwcrBqQMNv+03AhfnDwldXTALdIp650x IIyhVMBFDm3m0a0ziGYqC/qsX75oCryX2mHCJTXlBBIYW7Y0yb9+I1pBQCjtkJPGCdRWlKXVk45L THhjng32pT2b3sq6+XIw8EGIHZCH9ztAz1jh1cmuxkVCRLz3CZxul02rATCqv72BrgsSyWXeurqC lS++VKGYNtJZTDqPHk33TRZw3eEB4NpHvabzKI0BX6ZtHnJk+D45F0YX/V7vbC2ga8rlg8Bj9S0A BItIoOi02gOKG5yfjrROnBm4iglwHAcsdsPwpSfZBFZQUvzcVo7cKXh9o0RCg17nVKpWufHFSbsY EvBFkRXood+Hx/Ao0w8s9ABicfGBbgwoNaRy7nqOR9NeopzSWVo/rlMQoIPcmVYP8ZBEXSGmRHkd Y+2qlwMbg8K2QlaD2Gi8b8/SoP8/PJR2QCax4sZJA1E25LHjj7WCAeTcsgjq1m9hAp8muWd1/10y 8FmylH/kbBdsR3XriTF5jvtF2j0hdjTJc+RMbHhYk/QRKEAp03ouEs3srE6/A4y0MqV5EzTH+qx4 1aRQb1yuDE3491y4sju6Vd31BkqoMhBJTMoRfsID2C+qQV6O9FuS407ACRtIF2pTp79w5vMe64nM 8c6WB2VFXUFuEtHNi7b9E8zYFiAnws9O2FoNHL/a/cVeW3CVphw8FYeDNauhF6t/hZJlP0WxTSzg m4qlWXlDoEdyxjpw4fTtbYDKOn8pcrSYdzoN/IHxY74YwZ3tEGu35hqzimqpXKQQufm8RVctLmgp uUl83325MbDThBucH6AlTjcg6Z/NFrMD5Rfd853DAQAoXz4vwQ7BUPFqlmMN351OgsHPb0oNQxTy Q0p4sO+s1x4OikB9saKLIWE0fB1HTAhxoF9+qeU0dC14URLRCw+amC8ek//ojUzVilZ04ePguISW bgpYcDjKafXnOwAmYDPDfQQpCcBtw5ni8D58nzkkHliu0+UfWmf6+9HEcTdiwuJV2/jFf+dUAKwl 4Vd0KYDmdN02nBW6nmoYyg64lvm+l/9YfULKxz08wPct88Qd34edj2BAIMdWmqvscemnotcDVtPe 5M4HCmcWWgl2+oFELGuz1ytFCJGceAPwAYiXuj6Tz++LLG7zPENX014pUI+hsSfrGsVUiy5uJ2CT tEYXzlHa7Xqnw7LL19U/crh2/bsy2gO3F0HzgjA/MVk81NOQhyi00ZM+FIJWdWYTiExITU/QDP1x Bx3V5qiR/14TKvmHJ1aTJs7ATPQ3zzV6WIiE7NdktD4Rp8an3LoAjLOiefB0QoS2JQibza2yTIG6 vJdJ2e/ImwgD2kBoYzYYO0WkdMkn4m0ryAiOG2Kdwlj5jzNOLOkJXUSwBmUG0T4q0/zFC4n4p7aX phLI2wN8FJdLtHBOC4fKRAXKdMO7nfWpe2fq70fWRdsmCoEWCH2AGCJgIMXmM+67opSkOO7X0c0S 9Hg2K6Ch1mX/9fkmqOPS1RoORuwSR4TRQkT/yUiZ9aqNNdSemptFtG8ynNqMemBE7hytcYMrZwS/ N6E2VuFc74cpVZczszNrO2sIp00iNSugUva/717WuRrsdrbRi0SgbVkxtJ1hIqjN6tktxVxnMjKJ BRva1eeyrHfXCXfyq7eJRP9JXSU+d0kakudPUm0SokaMKT2ht6jF7nEDfttSFCQVjrbmHa4qmxMy f5ebhessJ/0sc/zEjMRiQoeP8PoUb56/xY4rzRLoPFz9JKCRcZYaAfnRBmB+XaUdQZm5PU9M7g9n SfwymoUDk46Kf24hm+inxh8njpEI6WhwIxpZ5T0uAPN/Vh460J+SyFpOnDF5EfpD6LdpoFq+JWeO yEyQ6TyZlqo4/mT3TVxtGLiw626EwI3KskG71X4ZWBSL2FFiwpXX4hpIEO9kSsH6KbditCshkhMd glVQ6giCXNvpbIA5dqUm2HIvFvk2bNUjY47DZb7/KES5OhPiq1IcNgyUwlv4ZBiqeOdnlrb/96Od dR5nrmAYhn3MAllcKGTQj+sS/2X8RykeCP7eQnSHrZe+63e2hIZkhCZiUOCbXsEmWZZypzqyZU3W 2KSvo8gd1URPIEG9xodEfOado6kS6r0sxYF/7OjRjdNRmPz++WvtJ0bvWIsnAuCh8RfaVYkzB584 otvSPEp6QBof1WPMaRn72yapkSUARA+oKkQfdtOP4+8BRgtVF7hQQI2axe40v+F9Qqa7D/E3e2Vt wKTZJDM+NtB+267OJefSyJHNAdldh6HCMZbzznDe5oPbt4KccMKZmT6KEMRjSIl/WvBaHXMiLGGi W1JOfZQdXqCo0h/haYY0rbpGyMjKAwZhhbAlGuZmDR/Iydt3A1Q8ZqMp+k6iHMFMy+QkJmVIVO1d rCRxTiY1dPyNm+t0UkyZvB5oJW963oxWSm8a/VSVPFQERt8WAeq+d3csuo4mWaeLeTwt0M1axoBp HSLPL8GCf2QSbsVs8qciWNyQ1Hq4jRmRQ4sc7rr5+VpU1wDE/fVzgGtkYywQB8afGkT0KYJeEzks RhwVV75joH30S2k1z4R59/ih6IGZP1Di+GjYUTo4rzCi+ijSW71Fc144XMXzpjHPoIBOQB73u/E7 GN5/cakdwrklN8fUpALlQCdL8xFRsMzIbeciLBK4BPGIEkibuQcXAwaEyKsj1RQkMRzuAUHgTuRX grab0C1az2m2QqxSyo3nnhsD2EccdVBgLPgerssiGIkPUM+tBA0HIXRgZ+hL8I0OPmwh/S15B4wi wqCOvyUTexOhpRyHk4c9984KVlHNqnfrE61KHZCnUcr7pHN9laFQVIM/l8l1swwEjD9OUZB+Rm8L yHf+A6y0guwj7VZtT9Tu40h2c7T8n7VE4NmsXENpwo/WxJ8LmbdGk+grRLpBBDc+IZjIY+eqggcF 4bsglJB2bRNvPa4PHEYuwWKkE1CJEfs1SzbrwULyfkqLJ9kpwZk0X+GinEQlh6UX5ComS1JSJr4u 5z32xlirLX9AHBCaTI72Jfcm/eqmdMmI0vFajXfyae85mdwyC/AVdVU8OI0Etwvgx9FV1ibp0kSW 7YuJdb0qDHCx0c/EoEYeF0Nm3ig+3wEFbIyLKNMolaNROYv7PzUTD3fZR2r9WbJa0ParYoS7g2Gf aZpiAyRCHAC5cxboCjxKmRMj/0UfgXDxao3tdp1dtNd+75GKBvDOy2uISQCstF6J6wSjUuhivpAh tDj0okFsDOJZB8lfRJFJmD29rq0ulTDT+FGsMcj0eU5esnAZOJmYwml+hUmt6x9HwGNchjvpdKpC aWffXwN9Qt4zm1jrNBRF87g+IcdaQFBYgKM0IOrdmYCA0Tos+dCQ3OVBEhJ/m/NuoqBTGKPHJIfN FU0ISvnB6vUGYkMJ4adFsfUFOX7IDU3QibDQYVcQArQx/9Ce7A1l2no/54LXyrYvkDQAmiVoY+ai twzJrW7lNTvKxdSkPtTfG/1eN+RO81nt1gNVvwosl6ljCmfzZ0V2JjAuPvYKeDgfvERond6hqjWR UKfTrWTVkqnnt+gusR2FW6F/89CC7OKSeXgraX+1hmF3nlJzun7YMNGaWGqAyyJd5vIqzWgbv0x2 WciLiywpLQGIYFsNQQ8+GyQoIp/r2Wh9JHxwNyo6cFPNstRWlOKuzpiJmEFAxOcePRSb0UZS1h1a HCYjwav5RYDwKL8t53Rb3h2LwdC8BC0QGKi8p0//uQsCMDAYK9wdiUC6+DwwK5nVs73XWLOXkaZW AHZE5pFBYr8mLkgEFiYyilCAsGcLmHxM7lbcaUdE7mi/Ntz32HcP7sxRqxdKxZr2Uid2sMvCvnf/ yny0dsCkq6Q6W+VUTaFKWJpRKB0ATZRQVtiSkxjJnlzr/jWHXMcWbvPrgT8bMnRgnTkawcz1r4aG rz7riKMt+oreHZTSyFybwfg8VkEyXiQKFUaQv4T3nZSbXYViq8vAPoXri/RMWnKl+DF8ZqpiNb1c bkron+rBuitjJFvDrF1kaGCr7sHMCDuuMx97bVCOUkJoNfh0h/4tJYvfRWTlsgX/THPD/WsDFB2F 9fgwX+SkDI8OOTNoZKKBtwfLmUaxR0dZ8MkrXdyMu2a1eapg5N8SCVVa1/F95ufOs4H+pE6H/UMs w6Y/qFSQss1n5XDf9zwf9pms2GTNN4T9vBjuK92eI1nPCA7Q/m2DWXdNi50wB+01T95qsDecQ23J cAh5wm4hDSEfQayfODpbgNUoF584nA1wVx89Av349NZtv6lK3HNyOWG4LWww1zCo8+9c3OP9sUrE w5/RZ6JZhYWuIypQcaitbhHVxQSURX89lGQrw6eYMrdeaDQx1S25c+moca2hzauSN2s+J4Vbp/Nl vTY60gKoRpGD65Q0LE1T8Rm/DXHpdNCpB2FAvaCTgRgKSGdquPAoSA2pTksZ4iW1JszkOARkN2XU an/HTO/h9Vw82Moq95GwVUdvwbp/aUieD+E8Q9ezibQ0yneUwyL1Z53QPfn62jrKloce4HrgGgll 1rODnWe3HQSpKUdbWcnMlElQMPHwxUkrVLC7W7gJI0LWcJYslV2i1TFpWo+5w8aCmnTjbf8h5QeF CE92ivhgqJggiqyk4w9L7sx8UcOPMK7N5Fu9uSiQu/zvHd+oiGZdX4Ha5uzAVSH3mYFM5nNCtAXU r1CbnyNM7krJgepwN+jX7ZjP6iAC/VDx9m2Rk4NHMjZmKM7P5ZatSPVFcdEeuGJ81NRh7+9M0RDc NnOi+71Z6lDFGTYexkytuGvJiGaYYG4GG2aP6S+z+PvL/m4jHhcdR/A5jw8Hj1g66nytWRBxQk+X 6FjAaQ1PmWPH5NffqWcBfSFsN6wcQM6mtvIMMvJP8tiE6BJVOLjzM2onsQa6vFW0URT8kVIR6pHu yGbTepNP3yrQ6ztuFilfZ/wdTb+q1j0TdRK1oJ9ZyaGl7hZ2hZv8Bj/oWtWEYES+StqZfuvsbRp6 RWRRLNLso9VUf89vGtdL8WNbBYIwJUUaTjlh0FflbZs/YmHbpFNYy+YVaZG0bbpyvIwQuFdXm1hQ gPPZ5SGwMnHTYK7IJwXbCgSMKtlS5LEl7+5Y0xUkdizsmQozGDVYMmQ4eWRxuH+TQWgfo5oKSxWD Dm2IhggO04V2WqizxryzprEZcQMIoFdKQftvgAISpnV++AbfPLeZh4H3gtOKmRon/nIoM+RWcEzX PKqNvDo/a27iXm+tK9YOKvUrh4UFbtfiEi/O9fV+ciP9FrbeMQdn/NAIL8XvqQ82Jj+aru3/cZn0 /+GINVn3tJbB4ei33eD6KW8Jq/ZLNRETyIYOfnicoIc5VRbdlrkGFEi5swNo3a+Oy/LdilSqy7JT 4ETuJoYnWakfkwqejgEdBDyUJMbiNLeFRQcCUoKWE1Jp4zN4L0ehjUhwpJqK60g7GsJ4ZNOnSPBZ a40VknABixtZxMOqLt0UWIviiQ/zZ2HyaBFPPz1G9TzTr7snLsqrR2Qg759IxPK5lRAk/I8Shrwc iwcKWMC5HcvgiiOiHcOl46vqO+/Ddoah64qDrft5BfpVcIFMRuuwlocRD8YrN5MGPhA3pF22cFbH dRKZ+XFly/y1H920IcthOVeGzyUUCCY6VsVGbsL7sBSjK3i/olU0yi6zoES/GcMOyLL3sxyEFqqv d9gfJrqa5ddPyloTc0900bKA/DsFjnJ7lFVCJwD2zyxiDcOLdH4+lCkf8LSI7FJViZUOtwa9oWf6 rKs9CLW1ojpip3qFQm/Cq77fyHoWoTJNUbDgt0mh1RZdOJVtqy4KeFLcFVjKgbEl3Z0BIZQtRZMS GQzZ0z9iRj2+iuTlVrepa25Eqhh8Qb8EVgGe3tZWfKhQqnFrAT4qcBBYY2ki6pPiInKym1NTooP4 bMYF6pYBaswuAf33PzJFjC6l1OY/GS8eHCNdHHQfPXRjyt3LpCPvO3nGddB0ZH8in8Y0KhOsElkR MkvbQeOT3Yd5SvfBQLn2BqtbJnoO7/zuSMGivQ/oFxWnwpNHla5YWEBur56HPHkWpKQ0n5s05J1r etnGvVbqWfG75OY8n89boEipdKj1WxtiCbSYy/yY7f41nuTrGDJ7UOQOMqz/jvmEGCyeDv3qZoWv gm18U8GLnaOc01ZP5eqREHFIS1wNGNPHSc14ktSSZE2wE91uCpW/a80a7HYpTObTWq3UeSk20d7k Qk6UNAj9CEDLAWYxrwvgPOPxYLInEHtLtXtE//yU/6Ehun7EGZ9t7f6oh/yVkd+qaY72hEYD4qBp fCZsZzkD3e3vvccHNnmeoT5PXDED8S+6t8J12pZCNEUnYwIivsL7iJDRPteRQ1fkNpoYJSmwdFtV BbI/+dPwN2EdaH65E7y8cTGdAgn2DK8mOnUP2MutrmIs203zuftMqNMTUoU3CsvnJWnWl0l3OAco UfDAiSB0/rNbKW5ejXLNHEPOh5g5nT4EFQ5plfMIpPxFODU5humAzQHNHJTKZYqPm72kpbw+khIG L6ow410fH5aQkgMqtVpIkzhAM/cXaHrgOJPCdx3RzWbWmBCh95I3YdCwKVkM7vT3Ph2ECuublFHb u9LYEICw9I6JfnTFXTOdcfM9hwCjrkWcdQxLUjxP5Oa+Yo91K2VEXDPOmfYCtvWeEr3AmxKWxxQo 3BNI3OXj3Rge6Ep1AqGVXJ74QPmRa0M2pg1rpS9g8kKJkP6Nbv+k7skNVb+y9D5ANmpKlNz9K4Cx BgHY1k68yli5lmsnBYb75Y+3UgJNnRbw8M5rFvydeMH3PVQSCIz3X22XLOyOOHUgQg1IBMoqNwiw WpU8ha7TjMbUCPAOsm/2YJJqqhxloJ1mcg4dGZ/E/xg5AWpAG2AkhuSIbAzMUpAe6G6PDfCKaIpG LgSTnkq68K0TfeImhXI/f42lKIqP47QpNKX14hIbVP7BQLFWWHDoPI4GgfU7VhwS9Z9mrJYdTWJd DQs1yTI6SDzAnl8pGeONCYMfeATcfNk254h39igHv6tVzpnK2WirZee7nzq2uSCMYjjG926f6Fyg cQ26G8lRjtviS/QDLsdgYU2CJCZEuV4Xe4sG+sxbA22cugD3+cWRKLDCHQYeSjrYgb67VWzmsWa0 WQU4yHvDuZw2fM+tS45ENB6gsGQkYff7IO3I9nuqls10/MwhAGu3RXeiI3FZErHkzZ47NPgVHN2R dKe4bckF+6ywrJIDlsOouI+9rGoZBA8MPWxRd1d+82ExzOul18vgbccmplP9g5waX0Tb4uw386Wr ieUVvrVi4xrOxIEC9emOrITYysSYbnYGsH9pX/uVsCl7/BUlKZYkl2wB9rDztuaH5JU+YcL3NIwH RUf+jdIKXAZUcodlERfy6MKi3ZVAnxqd/8tJQ/ueq9becOkEyQapUHwQaIiQJvsOXQdutek7NEjQ he6foWaUfpSrwXVkq0Cdl0X/nh+o6ARqlSPkLDOUwBiw/7kEHGZOycH+IUbDYDKPwRHi3izZyc80 BxpXrZE/W1RZivDGFi1XBryrXuSMAPe8+r1A+/Tc/oRNsLlui9eauI4EBY62lKdjj35/byLeOOKB EyW/tpx5t/m1liGqOtpA+Fx6irIeoXsSbs6ldVgl8gKSgkEPw6XbYYUZk08wkZ4qJLuc5cX4sT9I 7EGQIGQUdB4+0sUq33TwsW2qEh+3SofTRuspLfPYBHDyygwkfhy12lmWtXipen/K2oISv7JX6Aso UTh12O5xjIgc7OfuD5bgaOaM266vkHzdAqN7pO7qmMWC56DIpEgaIXVw+0FF5OgnoLplFbm/VVI5 CuNnsllNtEG/B8euq8xJRa/JkVX9KoKczb2FnD2c6tBKUTDdgVULjuBHO2TC7tDkGRi4qkPgUIYK vPwYFWvoGQQgoGLY9InmLtlzSFQCzPfqYehsrj4GutI/aW0eyYSVZW3fNuQH0Z2O4FDAUyBGxPc2 wuCua3683DY3KTQD5C+FYdt3hwZLlYEZUFGMlkY5PqozWJHafuGkF+2hQw6/GB6ByXcMV53V7Jog KEX7EchgkIPqUv8HwQhMblqHoPWS3Jxya24ugbrLCwhXPc7a+d2Hl2URFAmFgcgBVzankh12E9At qsQ8wdXaTKxyR2iU5kVhRttGAr0OlTs9uIcaJ387B3uu7qiLjwM0cAGEVIljhzqdikVIcB3hY889 9LzpqHxDUChfAWHx6giJdZ9wm8SYSKmDnge+gC/lcxTmiE9zjwKPTyYvE2MYPnRZxQ9jT+hCdggA meYLIdfDAiUIFcPDYp4ixn1xeqoZmkoN+qHuwqA2Z9IXINl3jCFQZM30akziR2fAqgHCwdcMQwxS wk0LzceluW86UukhHd6OS0sUx19tNz9xsNeEPGQTg1jVW+KmMAdzgbV9wSt3DVPooowIFtQFfhiJ AtnG7pLgOHeuD0S5RAEYui+AqPKNJ+aHR184Wpwua6bm6c4ALdQ6tPFhVJIDMK6X/VcU3NMroaDD w57fMTcif5TxX0nRmL/QDZpkpJoI6HuzSqa+r25rIkLZU6PKMmu2MKTvdkEjAcSlMUJzbji5bNfB i41t1DUjBLK4idMVJosSszBXm2i6HHc0Y7UeyyN21Ke9EqpuKK71W3TjxPP8oXbYtaUWAw5CLACe XOe5tIvNbNHsddfBPf4iz7E4c//hOp+2OQRqO7wCUWdz5HKUhV+1bzfSxglTSAKUyRcnAVPTGBma zKzshSB8fmFRzuvsab7Fg3+A/+EREFaWu9QVzlsvmtcEjRnC1TAEPhqwSzs/hgW2VLhyNmUAXB63 uQABcojnEfxjM6doUTjBOOwAXZjeyCPk1LosarT13aqBlCA5Tv2UgILA1vgTZduSvJJQ7HMNt/2X afjizGp7oHL0Hs+Y16zCTNwlIZ7bM8fFGt2IiPIj4BBrkL5aAxbV4dEnMjn4rSUrOohsyJj8ILCA 1/maOMmxYG3Yd6pKxlbO1NHH6pSX655vyIjrZiwSZRK7IbhQf/QqFBIYgMkHop6C8FhWo2/VTD3c BEa74Jdt3MYf2SQCkqfBV22xjocTtAydBhKLos19maPz5dR5N+6tcyVnpBWbT/DOkE74KMP1mSXT C8/gdz1XErQC7m0Y3y49kvjvC9b+9ftbAwqEFoHBb+cVJokzUcnOqO8LaHrmj07swyeO22YWMmpH gmAhsnzZalHsX98RbxSKtVDr80n1u2Ga4x8rHl/DexWLBPBJgcE6pX3tViIy8F91iK8wq5wzzaGu 5WN2428uaaubM/fZ4EuDUFIsFn/lOkKXku03OWet7rpw8Oy9xuQCJdErs5wkfGW/fIcktYYbIqE/ XkamGrGh1fBeNPB7YhlQ3oXaJNBjbjLl/14pPRKGkCHFMzquh/SWo7TYJkXee4S8/RkEBg3a57tz fnuxURj8wdgtzZc/gW8BqEyWDBxESI4hZf2IA6Zu6xUuANPK5E0n1D15whscCeCknbsfGdpJW4UF PZ2YVoXG17JRa5/YwKK7P9S5kRKCVZrHhgEzOSMaka7H91RtrjLAKTTgeoWRRR6464hDg6I+uvuu lJqKE7hwNVVdpGmHlPDcbIG3pVA3MCDEmBZeV4PjJ5nkcuBrhzDrS7JtRAf8vFDehGz7gYAixu8J AEZLj6576nZULoWmIlkzZ3nbI+cJ6m3yffvTSnUECWZnAd3LhJSKbTrST/1BRkHPaupjFO0owMAW AHfOJRRmDa/lhwRL5QYbwMpnNV66gfVP0oq2HZVB9PRrfCmyMVVx5AIUAsYyO+EZGI2fdLvbH1Cs 7qEgW4dZaktC3U5azYwpKvdeZxTOb3j4jWzPn6pPI7fM9lWoRnrXw6rG0NP1ICLIRGhm5UKWt+R6 Nerb8FEtG744EOWgLlAMRDbKvo2AO/kwM00z+ubFXn4PDPsswLMTkJZ9qzaqezRJcEKEgva75nm1 NRJ/tqT6a58EJ0kGdRNz/skehwgBTsNMexTfhQWQxTPkWwXwb8doJGEndQH4fLVyIK3td1EciOpW hZyPzEFmJGDwTHBV46y7f9ftDkiGqputQ5sKzVjTgxsPsySUjIw8BxPuC3/0MvfGbNDx9w4s7mUR PGbCHA5g+4gXxD246QZCdSu7Uu73YNs5j7nmYhrypgu6qX/XnA82wg6Ygl5n1w7UCAR5t521qNEc /5OHkmf9TOMvXRwufrQx4bZRvlR61A0kC6TsrqOuAk9HZi+J5xhl2xEwOeh1og9cqihNxcZ6DSyb j5lvLKz6aha4j3ww0njMfwg46DMgRUfiVUFcHfge+uC8SRPvdQm49hqajA7xFQGEyNKvhuIR7TuG Fcrm5LZMxvizjiRhD42TOoSJivexVf7FzBPqR2MOXBVGqxXyUt7rApu/sxCvhb0DfoC1keOhoHrl IfpFkkL2276Z12AFXKu1M/DTggIGfpxjKvdTgoi0L2d1LMDeUahlcRfnMwFN2O8ljGzPYea1TE0F 8hl1QxMayioMmYv23sz5uSMiK0KXp6Q4vEUeSXuSyqwR+boXUsOUzcnyMTbkScOjWqCdDyUxArjf CaJgD7KfqaNoACdiyZ+WjW7Y5aUrq+IrHub3EFlvglI3/C6gDDJnIDIg+Ajp94mM9oBmeIdA+n0l 8souC7iqNyJ7ojCA9IUYqRHH3KIMeeZXk727U9qY50KM+eGWwf0h5liZwNo2fWz3y5nlKlcegTyh qvyZRinmatGz9tcWkw3Hd/eMUxcrl7pxx6ZYNMZvVLAb/DjPZ4a6aarSMt0v6LiQVWJ6arjuNICk Hs2sWAZ/Qy+CP07Vnj1LF5XoY9sOFmBJybKThKcDrexlKhyB/fDSSiMTF3y9rnGkFQNPVHlnL+xT WZ2D5Rcsr6vRE/ovIaQ5Fmq7JoZdwJPkWVy4mWb/YUqu2/Bh4SrV9eq0EUXmzZNVP+37WN+roouc v5r4gHp0kYQJDP6edIgBW3P/zFtr5VacASX6P8YL0/wk5CNxn3nLVJLYPQY7PQr22ARKG3CJwNHV xRTaaMdhgJyaKbaRIH2hcojaAk9x5Xi4Vp2NXep5wCcMKVvWGdKfadlX7BZJYg5xvQK6jRbRI4hQ TkpFhIyz8DA1wlasgGgTQIOrzIv4a6fnBS+VwpeCFnzUc2NHf2E9rH1NB5S75k3URsEZ1Mhifaip MuziP8J/Be6NcZunYcgrALMdpFyK3mECypJI6U6C6yAw9B934UBHo7cYgmTFFyCClGrbMB+rMKqp /bK7pS822LiF7SeWL6lwhzSXrnvMCFtIpCYNKSut39BLAE1eq3Xch5FCZs9rulity1293/kbP9iR A5yjEP8abPJDmnDo7hsQ0uMV0sXAJs+oI85r5B/QjFXGxgFqUMqVTRwagbQQJyxRAvWE056pkWCG fogQ6pWkZIKpXIo1Mp9LL7D1iFvSaQUnsYuEsYv42KB6LPxXlUlWyyaxUFcu0ox+w5j3TmnFt5Vy WiEQiG7mDQzlD3Y83CeeOiAj4To2N/xZBPNlPCl/GJxhG+OShyWvyK64aWQHyUvlofeE53+65kCm LWnRvvTagRFYvp0rg76LqE5IDz9uJJ+z6EnUoZx+a0EHtR8Upsck2P65udB2Og5wWo8GaawR9sw1 eoHw8k7R9CceYSgp4Uz7aHlU1+gyAhWCgau0zElHQQqhGyLnh8LmGas2FD6ASIMLsAYAo5PbGHmg 8PMgDwOR0Ye2TQ8uDVe7Nco+cjy4hiTq8ooVL2mdayjn5IQf89EbQu0CBWZ1AxRjCjb3cv5as2sk FdTFdZDG0rLpBoSh5/ukahYB1HkDalLW9OXiYG+d4pQX6uWt8P/UpOK+gHNvcJEubmCjks7f4WRS n/bjlc/p+X95BgSfZyRMHdmgFkUR+8g1nnZrrWv0ft96ksd5k0Ff2PLrJV2nxRqapqyxthqot8P1 91Ic/AV9A1CmesNZnc36zb6q7o+qrrbpPEXoBdAoEDhzwo5sk9f2ALOuJZZku64HkgUqRhs/x2Of Ud85uTyyd4YrR0ocIKb0FyrclKQY+8skQR+sd3St2KhL02ilL5sxPIcL2+VeGcXDrBg9JbLYOg4D M3ZJwtLLHGf+swHUJWPkICTxfTa5FESztcWvuF3I+YWS1wgeDf29olWH7+U0KwSCLCSu+USR1p1c Ap3U1RuOJNy4kFQ6j/P43eMhre+PuLCECFgLHVr0x4DTkqGphHFRs9Ne3ZHJh/EnoG1qF8xj+R9N Y5EqvJV2td8lPYuTF6sQeZZ+wXWrSBN2B4n3btRprNm6AEvd0osrvujJz5I+5TvBK9WyWR5JatS0 Xxvrlb3FZ3XCedynj8fgJKAnnsj1fta50kKhML5j9XdGDgvUegs2RLeXJDEWTjnopZflreeocExc 7bbB+5XLbk4qRKzKlZ2SJc0ACTP+UXrVpCNMQREBrCsajzLL0O9BLxMv6oTf6Hb0k2djt+dWZzr0 4yV6o+4HpRawhwAstV6Z7zQ2bqcspg18h0znemfpY6KqbxlGCUEIPduo4c6fXtIhKA/Hdc6qWICU 4qInDHxBLMRcLXQX3Qp8Uj/5yCFVMaOE/ULA7/ov2a6sKtdDGi+a3uYa4fyNL+grxRhuPRgpakD2 Y3kEQIZvMxuNHExE1swgCYzS9Z6kE0DoXcnYiPsO9JOdQ/V3TIuHCrQlIZpOXr3XiEcEJMFwMVGX CtlUmPTeo21IRBKJIjpm+9cxSqgYA0BYXRYeAWPXG8VgRD4Sk9mDbgqtpXRvj3bZg3uADIhVHWb7 k1bPqx+dbkjZWBstD8C4OPe/i107dNvcf6YtX4s29HGqRmpU7vigsb6ExsEVtb/wf41VJhqeeYeS mt7npH9qgD2VUsgExfBjgBbnWdE796sP/TQ40UW7CWzByJ1Y3C56MTo38baTVSv6ywkbuv2CjzCK t+5SXLBYSZyRFxcxzaHCWw3Wsi2+Z22QzNHwmIxdNn06RwQP0yMZ7nAlGwoK7OBHPNAp/LyvDEXn 0Zi0+eiE8wyjPfgQXtTJOBC1lJZ/IxQq87TqPN6u4fbL6qV408vSAii1Y6oRdXfUtm1nqZRKfhvQ HZSHHhssIpzLRJCy3LkBVnd199X9lKKuOiQEyzP4Ta6XchGwHu+LaFwtPPtZcm59+6kV08JHnGYH Sbb044WcDtH82WLutNk/PTQtQT1xjeM2fEf6GolgEUdH8Z9382XFpC+AOxXG0PQvCLiSjdsICvAM Rb4ioqvvsW/fYO4Tp8JtyGWHVK6GsLbhKPkTkPuNoe1gWbIdUhYLqlIAnf0OwfGY0DWjj4fcoq9U /QZkoW77hI4A61f8Oo6r/gVkpzzQlgOzYg+WOjZ4UYg3uYVpY+TLQzKwhIdHXimvtnLLdx5gc61b yPDy4klmDtdXN2T3JIESYMx3arZvTvSrcRtkSM5peLjv03VrxHinNinPEqSbdw+7CFil/SB1370z 5HLJzyw1dgH4U83Vn7SyugFdPS0yVhMgSbXdcco3No4wbyWXdZ3/v/OEX/c4tokTadDvmDpOocjk Ug0knaPoABy/tIAL/c/YEyZr41SrNjy/dkAzxMN4NLrpZ8nYObWx4yTbjb3giuFSGtK4OLk6BrZd X9CRnt5zsCwKK8qdIcJJ/GXQnWQQ/BRsfGqa0IeWGekorFBJcysj2ktn7Hz9qJC+La538/brzITx 2z4kcgko1CaFy5GBeTTDQVARZ6YiuQackYMn/uevbuKnakVCd5qj33+9WUH7JE3bvrKvn2yytyWr 26fWySEwV/UUBOVrjBurBTEA/BgLaadJ4F6PfMS6naEqvraYwyjIBJtik+v/RVrpaFVRGpWD2n0Y RSVqTy1Uw0xyTTMOjevPrxJizivUTH7oCxiyLQ8hummZ0ULFhRKXprncSqPj0r1A4yTAtgFJIFcM 8ikg21xj6x4Vv2pyPXy17vtgS9XMBc9Eg5NwXfq+ble1K+vbpWM2YoC3bC5upHcVS9hmmhJeyeY+ EAA1169nV3S2AxPzi6zgMhmU/Q8UjRzQnFvfJBD6zxVHkIJiaZQhSm8/aQUWIuCxqRtuZGN/mpat NPeytYhHHGkazwXlEh6k/1oXAjTpTJ0nEXb0r7fxcvmIUbEh+7QQPRHz8SG8KN/6pSYKA9FoNqD8 MNNFd/gAx1tDPL2jg518KOcwps/41DkrOYqYoERyF3Sf9WkxSL1fG2otj0iVDsSiZxzseLL1eCOQ Q4HwZ8bsF9OJtvvUCacxnK44CSjBhJITJX1oOgO1H3MPjpoVv6rA8LPzQu5TfnnivCrocZoS7NUF Ku2IEkoLoTj3rHq5gZkyoXTi4AqJLCfdgydyeBDWhmkguaVOp1Ioxy3Gh4ihqSrog+QVvuNrCkYP SW8b1hdG+6B8cTAoDAwXC5arxupQBmHt1025uYMx88+a9ljuxXH3//+OejkBUek+RriMiAYofqXP OUoP3oKbrBUrRWepw/GW8qL2a3Ji17HtkrUJcpLLOaTB59BCZF7vr6Snhxrk7CHWEagYvgj1Y+/c jaK+Lba4E3GJ968GL64xgdabjIxcgeMv35HR0IxqX9FJCg+i9DyFqWiRPLLEP/LWi0doi9PwpmDE zoyahoMy4o55MbA0LiNTyjqCOBR1hJJK4oH69xrOGND5ea9sKPw87+iEVmMBqEgLY+ZEwGrPU9E/ oMuXOUtYMkjxTRGONVvLei5pJGyd4Gh5pobT5Yj0NqoILs7iYcJNTfkOTZKTpkvIz2kx2mfEu0Yh OpR8pxfxksD+vSc2IoUvX7TYDoLgIyttVqaHVtl1JGn90CCOuGoPjN6gGwG32c9yTKlfCVDJwFDv wbHMj1GsQxBRA+xNexQdd9rikPUuA1WSB4QMDmmO3xuQS3HYSR8KGlacPCkJWTInWot29WnMHEmn oAxWmi0DcqQEAwHfU047GMaGFysFJgaJO/QnP1BU5Awi/wWghBIFAAECajAnolRsv/o72E1GQyEc aKCbicCVtVfmexKTmW9NvRF/gzxMgOhAYe96CS/ON/YSdsMSxLOOTL9M7rtELcOSOcW0cEN2WPc4 QPtQc+X7gf9jNtyCuBQAspj+hlCJDD0Ol9nQs2kiflnIw0YZLUW/srWNGLzNqAHxX7Pe5TuNoa0S Dd2WLZ/xJGCEqlscayWvQ97sgZqIa9PGExcWg3ZXPr0FykZpvmbeZ7NsgfdPhEzc0Bok1ce3Wsr8 r0xV440nDmkFd4fsZzXM59StOuo7/4JrGyAXAngKnML7t3CmfbTJs5jYsUgociGb6636gSwLC/Cz Q/BawOunyMHEhE1/gsF7PmnuuZadXaqvEpj0RwJuru9I3H4Mev40xdMTHwa4PSNyDilq3c35YgAY TBUL1JW160qBMGW312l8Kc5qfMO1GNfducwTGPABJawmUQFwZPgeu3incSFsmbbkGCtZQcFbW/s2 utPzJE/fpj4iqf3v18XGB8QR/QN9yJFbRTyHMIvw/BJrypLv5TylZYMhHKmdwAk57QeLICnSsnIt Y78QN+sWPedKwHTzE2dEAKSCHG7M4P5tnH3XQC0mfL8pKejcZGda+VHO0LSdWGpIXLIClyZaNJ8+ QninOxuqtY7oA6WfAPpr4p30jCEG7OgjvffGn7+oZbbUEcBgNGFmgRtHu11g3vMmWKJF3bBSUDBf 225FAIEKM4Y7aKMho5xfQ+f5Wk0ljSfT/dNFtI76IP+yQNxB3wPM+f03V8ozvow2tVFn5dFygDIK 37sAkae/Vrg13mYddc2GT41xllJPp8UxVCX3BeP49VdNPiG2N5JbwxONvxAdY1nyg8P52HUc9/BB /tzCAhG4mfzgaGvpbDUCVLfJ11chQxmg44wDWriTwN7YJfckqLF/u8BU8CejTZTaMQtE6LPxnPdL ZKTRq3TaP9DA+71ZK6p5LOyCMC84Ipj9QiWKWBcHohRZdaVt/KiSJXLq9dAYz8f37mdWpEK7/mgj SwQ5ELLW2v8mTjs7nk6ujGkoMsx9SPKCcVCp+SGVFIda0TEuMIqCkcr4S6i0vUDNN1QvHxvEtfvS v/N6WJTvu37AWx6/BlRL/y/Tn8LD6QwqAIUqv53VYuXtSfcvddIP+C9S4O2hNVv/CTV3JSkTUHvj iMQBMbvXVOMLWLAZourKIqQdv9iwJCzu5LkHAY+fqBg5WiSvedrYblu8SrVubWPKxwRW1gPo/i/O Ah8i3bYiok0lZezUvJZ0kB33Z+LhEH4U9Hje0tyh1+ZHnec+YJlhDcC7R8/iu6v+6JhM6vDDcXSl NwwvF1ZsGvpOPZcMRdSwREoGQwThSOHTGHETIp/OxOG0zJBTfLjTsu5lHeIPB8joGiLKZ76OksQB f128JqEhqGQ6U+SsAo4Z9X0Im1DmkIlRIe2ERs+9Ym/UXSulCdaj+8PJ7E42Q+yRT5rIVFsNz3mB qmpyFEkoKd30Yt55qviZJrJ7iyQREVvOzkOh2iPbU47PdnMFnejE7YKuMOZ4dKigwxPyaThel7do rrzTfVqmmvYGjf0ddzA/kSlrQvXKFbQFk8b2a8HVEX85fY+QILHLfEY1iW2gEi+W/z31v5efO1k3 F2YGZFnHri5d86ovYQ/KxSlZ9Rzj9ipGeap4+f03s0auz4V0gxivNZKimHHQdkryOgv+ATS9lR+d UJMYgiu8fsPtcxjyZmEv67vjjAaGilJcfnNyGhw1t1SQrnXcdmx1heDaYpmFi6e9rtiuLcAk/ybK 5kLTWbkx+XuT/t89CCXayv3MZxS3TSkRaxa7h3sAasOPLbL4e1uau044VCmmgX0WKptn99Ul8tqw oWEQU4KZ9iJ4cHfno8bizAVBGQZODD3AUAaCjuIwCbAEBPMvT74Kg4/gV3k+1vHWe7zHLHlBHOSy fNPZlSq3qjoOBPeTGtLKoQeSgO+drjESOSNVNUsIJqulBeW1up8MbXqyfO/5J0z6r326Y2SNTOI7 XMxzWvUiOiahWbAIskZkIB14UtSIQkh0LWleo5mUUMpaguE8EtSuQMi86NjuezScbNxhNsxFBzf4 g7kbrmr/36VxQSubiOg0EbYmczznZVfYpaDGFWnk4m23z/VsngoL9pfPCmRAlkL4mGQphOOPBqhq /dhAGQ40MzbAWuxEcZZ/5YbW/ft32Aop/03KUGT4j9cZr9k28GCWptT2P00MeppPcA0uCynyzLC7 7LRsprPUiki4kHsy30/EUPkH5t6OmM3sbiD7bAYW5zqObzxlg3NUrXd6kZQ+UKWWwj7iFCF/JI7s ElciEtmqzpMD2BLrrjiA3jbD2TbC9Iu8iGYu3L2Ikz2vnliTE5W6+nyUdMJ29U36+TJQVxQ3SxMz hBc4FZoz9AZm0TsP2WaE3zAetZyjq02+d4OD6DnitUvCPU/mglfAxojdHNI3FAl1T3E0igt6obYY 6cHZCvOGC0Z4eLohb6AdSD+BoTjbMpGefHY8ZmQKDegxx54gZiHGa7IwEF/YVyGYkQ1+ByvNVNaG JLjIHgrB2DNpwPwA2FhnPAee6ZyTj1L2+h1LdgH/GODf0oWlPKrw6IFQ9TZOtLGSlinI6svOi9u8 2Mw3VLkTlUcSOIBEmDJtNbYKgmUKm9aNJg4xThW+5wYxt+T//h/sS0HRcGt+hA3IQOAJ0N2q05Hx lJ83FSanOMMVOO8ItLLgEyZyKJ4pijNN5L0IWuY0feMBbnkH6XZvW1CFFu8CMot/oo/g/Ec0Kwp3 evhoFvJH+DIlP/XC3FOg2tXxmzBr+9kIWc4zVsiuHhqhvgD2CNqjDyGKGx9lhN3XEMfTSfG7P/BQ RYPpF2IvIgDAj/qjYEC/+Aok3vJhwiymT4AcWvFRamFC6c0WN6cNUSatQCA6KUw31ZCC1xe2NGwW rwohOMkS6OdRirmc+XeqMjFUhwamy+rhPQYytfcBpX1Z8dNqggMks+87A6tf71bnaGHxtuw+v/gk FFndqlQSkTHFgQcY6xJsNq+pLvG1ORqlLxexWUo0Y/rtoaPM+mmiSAGdPWHSuj+BYBYfrQvWy2BP g4NEWOoADix5Qqxek0lnv+0SefuOMrah/C6hVXKNLyKebMQNd8SRb9JyFllY3OrC962xw7Bedye0 W43LIVQk/La72W5600ma6JFXu5Pp+jrSrQjGLWb/hnlVFNdjcefSNgvBU3SQW1EpZS5/trwsTbsH I1S4/BagDq0zW47dgbkpgL+tdpooV5ITvzSgBcjecaBaZyxcaGr1Kbzxp7yjub3vphlTUxfeXW5H XaPX/+H+DtKLV8YrIesAfhcKFSjjnGd1mu4WAe0+vL1D7gW1f+oMOKbhLOCePVZ9PrdV5XWJvqgS lfAd5L1EVjx9LSQ7XBPHTjxoYA+qjLyC8LSzZSTqP1wgcmajO2jbjaaWaD1uZuCfMnFyo/gncq7V 0xVGnBgtAdx0ixFCnX4JhIpQ0W4zSz1ha3zCrVpP73tV1arsQ9TUrqgZmUwMf0023whn5Ut+dal7 lsKBznTMi53nEDTxkn+SxuM5ABkDoxgTZkF12JvurXBqwdtABR/+fBPUo5/M3SZ2sR0JXTULk5UA adU08UY2g0Ev1Xf6MQ3Ry4oQmAYI+vJP+tS/GOiGtMQf5ml7F5kNbyvwoWw5nJRAM6jc3aDDviuV Q2fxNutKxLd3otrIZM5KDisMfD9ojTofvIgf3ZY5yEeID6bLXRtiTNxovmWjtDjgfAa+VQWWaYBx C3hTGPHt0Oblt+w1227XoEfB6NtHIauhp3EDj2IV9ek0mdoQdfDDTx96zD+LIf2VFUg1AqqffbhA Q9By3pjKSw4YjJu7X9ManNq1pS6oXXxN+9mql4WX0Qw8MBa2g4v64nqvbFzbU8JsDe5adMOgeI60 dddu0sNaxBmMSM2UcugCqKF59M9OrcR62dYXqdTRsbDe52atsyQ1n1cS6N4hrfrhw0X8gQvR2MeX ZuUDaIrZfv1XIMFVNmj1HRNeP+KhTETIVMedBqa63RCUoW0HUTliUJG1g95gF6VUwI8JEJ4QVrvG ot/ARnRccBdHdTSVh9/pgEZ0glcpiLqPyALAMaIPJGgLZ/YU/mY6ykEXs+6g3SC+Vvt88/cHrbQX HcI400/JR92da3Z9QRHk0Hu8HeepfvJ3PaEyajgg667MvrV79h/kPX517dpsDx4SXZ6bH8dr30Aj I5SEkPJyzpMWtYyjDdMgKxmfJ6Pcj1RnG81l6qYW7OtgKQjCI44t4b1w1x5AovGecOExXnNhtzgo h32ipOv5e9sAwPtvC4plV1ESjsyGvf8YtLGbys2c3F9xFGOs334TKnsTuonqdnBrSsgC/k7N6ALy xrx+W40ySdFPbbxSmWeDE9PbHfx17KxRLmDAVU1happpG+9EJKVLt8Y+dNot3HzdQfGprajYaaKZ RFksdZy5mKyoYPV8p4CHLCbCXsMxH9ce2T0M6MgrCXovVJmm6LQlPzsogsV3lBCSAHJB5uUlKpcP /YD/406xu0sz11bThyAHnIXu6fYiinOu6hnkopwEZQqfN9SjmAVGXeA0gLNovk5iA/g5e+7/IhDd VYyFviD3In1hAwq3wj/DkM91EU+4ibWT4jDmCyEmpoWd7lIVIBuAITK/CvW94pw9xi/HcIV9exTe K2CZWrut2JAgBDdP8G6l/KPL/6J4IBA0mDpn2oBAr/pJZH6ZNU/JYTGO53wGfaZHy8fIdD2anHxQ sXLqszwCMRb6SCVi5gmTwLkxcKUYQ+e+Efk55o/4eD/qs7EfJGXdeKhdeaOWu7MySMl6HkRiUtJx A4+SnEB5GisgknjUBRHA5X61Pfok1wlTweSnnPo4WJnsbCu9lpbQIBM9of3fTn3F2Lj1jRK5vzkp DWAC5qqCeNAp8ZAhFBBdXhL9qHN3G+2hp6VKRg7TreV0txbUKURY4H/ALc1vqDMZ++2Zyy2H4Q+D LVVLkEcEVDMV4aDUwy+2YKauiRQRBFmPuocioVaq+eZ5+UTob0P68Spoh0aSYidr05MCfvjt0qtR U/3aizSqrS21GuWVo/bYox6ICrm7X/q9DTgA6ppA1W62iWJaPVV1j5CT0oeQa2T4E80rXnZ8rqrj n6c3JLYZKlskg+SHexPO+hDgHdLLp3AD5xx+4hgKToqlZoRAXJQ2bZKl2wgiFRh2HbgvRuXqihak rcDX4LqcSvEqL5jDu2Apkbas0uzB5VDz3s2sTSiL+XOMqTvo5VpOedWuV0bKCLCPIOcs21FNAHPN 7Fsh2jUjNbVXOC406QDBYlKO6p9hqI59uhy9EMr4JDtR8dQNA7nhen1W8WlZmX0pYlPkQjcCF6hR ygLpc6lDQGBJPZiEN+s4ju2gyBfrnVtVl5YM0CDFiOP2Ed8CwwvsPe6CEEGuBp7D2qCza4ecf5M8 rYhljCEJqOln5CLfifPxb9f3LbCW8C6tsuQ4jk5mRZEttJIBa0KwbdKi4+dD4lA8dFM8Tp4mu+uk oYv5D+Ej+uWVU6wcE5U742PQS5ZhmEW/Ouf55X2ZXvv6k8aTxREplXN0FOT9vpWS5g866ydnGMSC 9gy5b2UMz7UVJedNVlPpz45URjh+dbNipuYaaSLqPAXMw/qxJ71vfgzMd1t70mk8k53Rf6K3Q8l3 zgtyzK+rFrOY7XboqNfAWxDfCzhz4sj1VDcTZf86PxLezbkGOZ/+YRCWNsgQzI9LJBa7iuiquXrk +Co6Er9fvreqBrb1960oioiAFRu++E8oTEE82jqrx7ydP8K27XKt1hRN8Eruo1kGSKnRIx9uKaFb RCYukkkFzr2WO4zGAEP9wiTJasXVJcYxsBsGvjboGJRWmzSTnpmfo95lPG0rK4KCS7tufGa0VgrG Pb6MfBwfLi8YsDyTSHKO/CzvhYMUStxIRG8clrdsgYsGg/Q22ozstvGC0Xy4FlSdQGc8KLOedujp GMmQzv05eIwCHmaZXCkCB1wq6jflBxpbcbh7nu//K904HAF85wn3ONsBVlXJrgJbxvIzboIZaBY2 +CiEWv/+9tgPDcD0jB+VM9F9s0+QaRyxByVSHyrnXe5oqeJwvxUavZVEEr6QvwC5PZmACLgNPCtA /SHSVWPbiSZg0+oIc8aZKlQzDEnEoFZq4vg6vW+h8d7kH0x9DxoM0g7VFFaRb2CEndUt3eItTvLt mUBbwuV8KeoTqwA5wSDIOh2OLmHbdBU/p6/uT+GYuKT6Dv5mZYgP/4IhxuXs4lzwuQ3OhXXMo4FI 0gLm6dOlH+R07gDWH5wUzISUUbfi5pd0kW42dP5OPa6lNyDqrdOMPfOvddYQmQ9Z7t8XHXnrGnis 3Y5RMrGF5NOHCJvj88cPbxc1/RScqHYVILFYSz/P8iZdzk7OeO6Ezr5mbVAb93J8674NsQO5NHRy lVOKNVijd2rPwWTN3XBWlebbGTj6h76Nz6NuOQaYuTpC3vAKiphvmv3tEtiADXyIS4AUs6PEEtYE LQHCD4NIW0uyllruUTBKPc7Rkee6GoY48TqD4xRZBcZRm3gJVLm1zw9N3jumlWNcOruW1QMAPjjk EzRl2vMR91CnAAr8OX5KdlkfKCq43bHYMh0Mp9PCWbO3d188iU+Tu0C+dHmvrszoUicKIZDhLy4Y J35GnCJVmpFX1/MV9/1G2ahMchVLpIR+UiQDiR0/CgPQSw+UAfsTp/ZSvae5APt4Ik5evP/+Hh9G KzJPeApJOnWeJ6tPlze6YOxiUxA8vpS/0M11b7gFmao0CrKjFfHF5uIiLmLCj/4Gb/Ddek6GQgUU mMp1gt/Q7rcxGc4NdOnwcUW6DMteOHuJdVbAArJNyYPxx5s5kGNL3MqoxJCeFoAHHityfjrqNAWq 9kq/mnSIDXJuoXC5VB4g4ihjlOTwj1HcHHBhiapRxmbeGY4WHYfYysX0zN61MSJAsX6WueoT4MqJ YNrQVoTlsW/M33IqTQT/AnLpTS8sRLQ+piTRoWv7uJuyPvC3Y+dE1iy+PKyCYmSC7S5BKgXgzzzQ gPOolDWMGAAwurcso2U5+mdjNKthVb7zH43yK4BPnx65GKFLnNRRdxb9n8NyEwMU5jCrv56XP0MZ 0BTj0b80v3MOEj4Q0k+w8fGbi/3Vi8AmUjT/HKSy4j0pDJl4y7ya0XXz5AwCmvIETq4cVXPw+pt9 WY5fZcwXp8BUPIumvXcaXqwiqe5KOApDgd+X5+FSHPyaJgfDZEO9AJkErbPy0OapcmqVutp7qber tTRsGW4yaTK+vCqmmy+DUOUCgufAcNbZrRpEwh0ZfVnbwDM9cjU9Aqut9NrkjTob/UxI78IIjupS ZsuE5y0kZGVKbF2kVg2tofHOyvvOCycCXKy5SWXFxlUeWdj0AdnJ/q0J0vJui5EAIH7vrK1jZ0rt r9f49zvY+1IpyGpZcaSFCdP2fatvEW50hiJof4y4MCTA0xQXj/e+cRj8AQrZANmGiUBOeF8NmbHm xbogXfWJiR9pZy8CQZXnH/MYKTe50YJjIt+8kG78yPK+rL+h9BXPcM0OHQOmR9WtBJVZ+coucPZB p8eqpAcptmgiHoEazgvb1Id3PsU21t5w0IzQ/azC4SzrbNcgDR/p6GzmuyhrCrlZ1BH3hmveW2OM PqjkUVqCMi2uuPcr+Mzgtr4nfnxOklbz4R0wpGL+94uWVl5VQzPmULY34/+a8p6SGtariBVxI9XW pL4th8CE6MLfpxmyGK72nrrVgdxyajL6+KDOH1NtI12xrLJ6IqNO94aXcSp9roSZzKqbCqgo6Pkd urkxCRFviYX72+pum8Gm/uE4eQfpiBQvUAuVAFc28TuSagg6XaFlhU9KekE0xqJtxXszwmTIxWOy NTnCnzG/1yv1HzpKXg3rgLxmkr0RddaZgCE9PCplmpUT3AOANkbuaYCaFVnVfi1i71kuhpGfB4G9 UU6VoyeeH7TrPNEorl0rtE4VnhstdiUKbJRCCJVSCsx2TPAKyLngjiTzf5TDHittOPEb51Z8Ji2b ssQx9xrZcDjy9/EcVbXlhc70bK4U6qUU/Z9shsJEqa11jOgZ/QUOtFIIROvF8V6WtSuzIFZmfNvk IE58A56nBKErkljXjEPImDtaANjTl8TuRKTWLtORA/g92sYT51PecseaiemYiL72llPTiegXAvh8 7lAc27V5rPcZzpkaT6IG/IG5gMcHkPd4L2Y3242H6gR3oUnx7Id4pnUIyEMM5fw+OnwZZ+UAoC7I hFLfixgRoE7nIcWXXbk/wOdUznNmBF1a4XnW3/eYt6A3ye/sAfHwGdtGX95Pk20skpIBvlPWQy4V 6LK7S8ikOaLl2ihmn7bCSONM/Ac2yIdOVRxXPBj+ND+o/xp/AlRL4kC67wqANIfFgLtEnymq+J8P obOE9FkvvNyFsd/giMbgg14ZCq5bf/x+Cgc96WSAvLe7yK1twRhhDg7Ds+iar8KX4P0eCwbmbxEM 3R8Xw0ANF1OmPWYqv/jH1LUDXLA3ifaNbKb6nHaffJ/R6iv35y8EjuSg214HkMpIqn8FMe/w8zNT sPWz8atsXuKzt0mS/+Cc65aeupSYlMyEmq0szoE05VncnPuRs6hSjZWXw0I0OIwrL3KnrloAZXO+ fcm/PG7Rv3go+6jx0Qtcw6jrf8XTtjxIfl9ZwNrliDlIjqgEHggWLQ40BuDnH8Q+Mv1nLFH/n9hH 83R+JjJq16ZFS2eChVFOayw1iFs/yA4/Neo87VZr9XUFXe3iUGb7sX/kxoiY+t/41Hmty3spCVld NVVF/r18lH4DwcskSHwYD1fXbqTNq1dw9eH2GItiguK0yAQ9WIb2kEJ90HD1hEsl4uE20vryWoHs n5EoKsRDAgwBUSoMUizSNkUQ518iYHH+bccDPLySKs+7z1zKrT2EJIpWA6nnx91/1uwOm3usS/W1 QRKRW/iYO4Hrr2OIWbNX6vOSsjm0AGZxLi/20RtsEoYmADuFK4BzxGfYwCQeZrdbqIwrQwgQyBir i9RkdgDiH3BSZ5/7b9DHf2wl6Gj3sdZcz+GtiVqt4diCbvEXoQiNc6R/fYDsZIeIgSLFliff9POD 7T3EcLWtfl7TrwoOMFy/B9yIjjccwf0eleKPVHsVKXb5pR3ro+XDIhmaIwcEVLMx1Qep5UMcN3+y 3zUKigg5I17Mdad41MgDlK5uP5fZ59DGDdCSbvrP5IgVNNsfVhjlrwiEzcdxHYptWRahLy7SAzuy CNpHcEYy2Iv0xxtv9zq1EfAPh7at4INX7WWH5TRTvqpDBBJDNhbG7N8KDBdlk2dilyNwmlOmTTMb ZtSuM+zuYL3b/GhofrfPHG409UZI1jeSfmbm5RpyCG2/eT76EK8kBAk3tTNsKAr9zjBlDIhAMy9O rqRJXfg8D+pf2l6QD5xA+rD/MVNpLJ+OduNT703npVL+7U4CMkXhh6NqH+1z05oFM/F6TD8dlvVJ IwfdaTfn3ScfBXm/pLEUGE4XYMd6BFKBFWsTF3X4b2ohihbIO4GNhg8uRFeTwtFkmTxv9+urheXp tK7cm2a+o+SHBC/576Xgt7JF0scRf1oVCZV5d1O7MaWctiDjc0OHmuPrmxkbL+UWFSN1dEFHslKQ s1Ebll25kjsvyvwjkp13yWW13ams+40LCoLOKuRcv9JRrC8FwBeoaDljG/14KNFddjVu629cs93/ +z/ZFsti/kT+0IyGIB77MpEzQnpI7/bPecluR+QAik+8T88bq8C5BEzrCwlPrAO8VxjWf5CgtPKh fMK76OzqN1kRL9+gg4H6eCossZax2Pny6c4WFNboIDNRBBpd4XX+D+Fq3FzdujZ+hF8orzfg1V2k q2uS1Q4CUlBacyCBCDodMn4GelxVMxvc9HJxfhGrANx/AVkEEKSAk0WVlliAsnJZsUO8O5oG1jNA KWW10dXWBR0IOITfucWdxVX86G63yfKXrf+KeBva0RitSgwnzfvaAA9UnlIDVZSqtBmAYCl3YiNI NatyO5ZlbMioNjm7j7nYjNhgnmpCfWaurO38UWVYeNAwzItHl6x0X8J4bPauXyfoKxTLsDATqyZs CJRfAGtNr4FPqFMnnT+vebwrxejzzMB7/UvNUZy+RcNJmH2djohTv0EodAyFNFdZJ4eBmbHmjmYP qCkNp55oikWq82wX5eeuNVQ9N2D6QLikZzdi+QeoML7jOsSz4mxz9JJ2lfqQjkfBTP+ivT4sRkf8 mV1wybt2fXiIVPmTK9DJClpq+IsWL2oUezv37DGYJnGHZmxNgZO8z4NZCZgEgq3ipktfPq0WbjvY SU6wPnBdiWctAbcIymSdXpQLaF3aveLqnOqGYPK8LUQTzn+ONRsE9BkgSQlEtLSRG11eAE4R6czx YHt6yps0N2R+IYZ1kTqK8Rn1snXl0Bkj3WR3+HKkmDyLnVfByvVshtnGXCo5o0Wn42oXH9k+bOuV 7ZNl4hKmjcruYcH6WbiQRz9gGd7lLiBzDFVMqf/SJFjC2kEptnfLDeK1hA20vwOODSSI9e+083Kd ktLDngMeUyvZpPHbB01SuxoT/1ZVmCX+FrrxsAxqPiUipZjl3VxmP6tLsWH5QDbZIYSpRRsPrSs1 d9NF1NVCpzG7cXgARgOUenZGWWUEewBbpGJwpMnW6CjqeaFRCIuOewQGkTdXEzaXhPDQNrYJSMWH HPS5ZoDp5fnZ2LchABxdlXUsy/tM3ALVB03Hytnh0eF3wLgiAztVhfkBJ3lyWjZBFMkClBD3lZmM LrHjd5Gklyxh5GulUY1ko2ofxaoohT1BLsGs2LHP+9kQ1wj59UMmafVdSSZ5ux3JeNujOrT2+F5r u3QRQ86+voPoAQ5wJSWilCUDog3XaOBjhpyusges0FLdisaTJbhLljww9UBiomR40TS/A0ISvP3K Cu90bVyDau6enZagFedw2MJBauk5s+cYAxPulrC49tBq0ZgfSPd6kaW/FKomLL6z/GtZAjnd0jfV mGWlaIV4Agd2L6wgrmdHkgICTLVlUW+VJ6Q2tLkkkzYjFOzfMr9EPXY2ua518G4Wi6BwZu8apyoF yz06k5wa5bGr0jkQgnvX5d8uOpb33geCSKVwXD6Fp+X2geH81ch5aRA9eFGKorcUhS0XRgc7lgiJ +jxrq1PAemDkeSGJpgKwivg/eGVu3zNX+xHQmESLF2rnTlqsi3amMEdyhufQOXgNNVVyqDinWHiu 07C8/5GyLxFYAOXKT2oV2fuhWIxX670LtB9dD2vasx6J8S+gNJh+5g365ZDCVrgvsXap+mSOlNJl 805xkBvNtt4cE9qyMmnonlhepqw4sgjpxCKPd24M5yDJoSs1UDJL19Ty46vGFfWVhvZXrxTbBmlm 6fY/H+/EbmXqQvqgueBzuzxqjuWgbNNDopEOtfH5vOJwyHAaaRuF6JRQR/7DAJqnEMa/t2Krqa6J vCELb3AFSx0KOrtD6k+jDae8ZTCtWrWodD5N3JcN+SSxCDiwj7CusCGv3zZTUeb721I+X0XfkZ8x 2bYBvtiyvO4xOmxn4H9rOcxaKwiNkv4G6aMS2Ke8U8w8yZvlNkS3CbIfFeooxVvwJpYTuFhl2FZ2 e8vFJ5PX5BDF8zOTAZ+YWo101Re2zl25SRsqeakYBBzgbyKzpoKRJ7p14icuhitxbqmOUne4+fGG CDxgSrbAVvmE/8xBOC3R58KvfH4/NHUBCZcG9vS0T0Twd9A4/v1Q1HoseGd5HbkJ0WfqK68IHYSQ MpGrwbjFSjJwjjXmVbYvE3fwQhkkVN5dKsZ9rCI9PiUGSDO7H+U2V0KinlAJzeetbTd3gujOHs4Z 7PFzhS592/aWGiJkwwr1m5d19aJVcxdenatzY7hr6jofFuLY+998a1XiTdA6XtmiRFj6oJCDkjbU 4BG1EM9ikxawCDUQfm9Gn3GMe/UevY32rtd1SYta3ueq9QLFIHhsuftjxov5qiqd4NGN190CMhNc Wo3VpKGxq4lLyHzSF64Ff39oWqPwUM6/KiPE4qisZhAbxOrqQzKHbtAy+67pWi7h11eQ8bvMO04O FNs3dIAmYZUk/ebFWoBEUTdtk2WQsmYuMLOkL5xHsyVv0CNvR5bMJxPYGqGLBTVohyOOVel8044i LJjLhnWzktsi2Nyt+kHY2dkD0K3jrIdB/6Nb2v0X4z5fnCVDLQoR2tZ+0GShougktg+mQ2JtqDZi t3rBnr87P7aM1LjmMBQzCLpCBgzgAY9sAF1L+52FSZU980PW6/I/M1EzNUDkf1Zcvm4fVGlLzvOg uClxaqUyEvZu3BJ7RKzUyUTqx0foC5hn9yjFQ5cHKImKMAttGQkDFkJUaGh6A+/hR/ntMNovXByD CMARW2T3MxbPkim4telpmgeDbIurQW47Mjw4F9JvI/vqnA5Cnuc3kwcsW0xU+X7x6gwTXZ7LwumL 8r8d67/uumddywLUXVLVq6rBdJTw7dNwVwb7F0BWW+6fjMguHTc+bbWrf7ZL3IWCe+955YKCWmil Wt4rSFPKGnxYc39ZGWWjFgD5zZskNeRs66lCHc5PQl5Aq4pc80v/l+sggQcwQSsfxdzn2beEAwzt mMukm27Z4RcBZNF/5D8ijQN0aNKxDibUzeGNF9n21ep+IFA+g73jS127ykgxrnrVgqNx4SuWkJEg X3ad03lXVbY/Vs3N/R6oJ5d3d8YcvrPC/pudh9kt4pGKdJq4EMzFAr/o3RmiiB4QTGGYAs1LnU8/ 2BFuvl3BROytxoWH/jZPuZAKofIZjtEzxEbtv3lszYRb9mUUT9zfj1Btq4q2zbflfTlBwTVghO80 lzNyw3ly1DaJ6P6B6yaaVuedO52wmdT96eXn+L2K2HzOXsRnSH/bFZrwEXBZ4kIx8uM9sJCsPWXf kGadS+nVReUlhl3/0XEMH1oziRMbEeNGBCIPi4/iWEJNtzPai0H22h3uxgqSoYSwugOKn80teewh /SlyMk7yedai0b7p9Z9wx5yiuQ5pPQDX4KSEdtny76FKRGKOc/19OmUXFwH4BvpqTGiav0wb0NOw MWU8OymADj9hg6raFQslOnnc8s4hbB674XY1HSl4lrVlcUqJfeDD537a8V9/byNd/a0fB2jeIbRk uQxkWV6hOxLu+oIIPBkbAT3wlNBswOd18faHFAFTU7Ome67BC4T02qRzWSeRf3ex/NYfd3Kjw6b3 BnwU9Z+t6IHiEmVqzbzce6IhU7YnJUWQGjGcsJvn5CsFDGvoFcWB/X4QbMgg+Uk9dbv5b8k+QJ4G gOPje8gj07pmH4sY/mI8s+EeRJv8NFGMyV0sYt2a6o5de2HmvXEKX8iX9cWKQ9fj7pqt3cJMbtV9 DDnMEpkHURrGSmxheD5Ops1t+wjB5gr1LEc/cjImzPtK1Pwnj/bC505i31VZsmCMnta6vge8SwUe RVqToaAIHG+uLaXemGV3Nbq29F+TTbS6lttmADK2pHp6VUKrrp0nafGwr6mi081vI7HqewL66JKz TaTI24H7LxHWcdApzvgAxx4Zbqrwqtd7KT9/BLOtuDWs0TDff15WJC63jo42ekAvLrzeJPXY6Fok iUuGLg96HhJg1U06VtIzfMSoykuFp6uxkicdOcHqx5e9ZCrSkVA/Y73I3UGtPU0I3esupZKAWgAZ nKseFLY7vGvE/f7GheSRUnmfL6XgXEZj2IwTB9edDc5X1Rr9Poh0vl51FrY8DlgYu667Lppkhhbw vaik6AI89I79b6/FnPS8F9frlS6Tak3spaBvILb8DRed5fy/bIDeFPZ9zXsTZXN06mLlNB9Th4Wx Mvuvj75iKGoOMJTvMnwpjGTfjv9XXyIa/3DtW4jxy3Z7XG5fPiJPEdXXFrLg+IQwRP5A4OFonF8g 1ibltExYhgW2CNmOdnTb+jMYmaNNJFb2vxUyWyC9CE5BLX0cyF2crl10dqfdFC/JvycP2sG4QsZF Zj3ntB5+kfQEyTZiflkGGBSpaUZ8lOSF/eFxY/oKPZRad7Weru7Ts3npbZCitygC3eoTrVCGgWmX LdK1DQPJjlh/SLCA2hSf9n68kyYEhI1uh2yzkZmFonLs0vzEo6fC2ApJRp7JGJH0+fW1ZDLfqQrQ pFlxEg322IO7egI2BtYwZTh/6e+z5X9H7O7viO1O+IXguhlS/YO8e7s379SG0xyutPKL6+gn/06T 4+FJCJDw7q2m8tV7iB0JngCp+o6rtRZ5c8tBSELMVaimZzKLjK8yMJVyYRJJPY0qLr2zWvuL+FW2 mxjawKHSkR3g33x5QNBTvpU0Y48viL31lIl3tF8z6sLFU2ipP3PvnLrtFagIDkQ1D9yLHku8Buc9 7ZnZCHInnZwlEb+VvrleV1d/wy8S/GUIoLOcsH0P8bKjak3ZaTC5d4L4fWMIdC32SCqaJIDKASI6 8L9ypU47wGq/5xqiqXQq5T3DZhHxGD8Y/DWV9WtDbHxqn2g7nZszsipQphWsLGtFx1XTe5BLFpET 1CvNjPqCVnnSSABwNmSeArH2rDYYZqJMEFNEawOrhAAuLi2dKk3EJeXYN/w/KMeH6VZ51Id5FGjc +uNu1Fy0bQvSN/+krQjJt0DBM9YRbrHqP4ZU+FeG5jDYCBHKrn5v01ihOmyiapCp0ynXNFcBKDaQ q3qaExCPnk8SeUtag2nqAs6efyxMAWK4POkepxv2hmNRCyEgiCe6dCTo6e+rwA1rOhiQVFaEZoRC IsfRkDmHn04zQleM/3tGZUKl8kOsCQiwrFsxcu0YJjNb/tD+VCQDwWRVpb5k7mvMZKuxQOePEVjc UPlYNMv7ZnM77cdW08KKYYkG6bwnUDnKHUM/Mbm0FXVqgCmj/KdMCq6mj6BMJH6XMKxurLUV5N7A KT2hdUtynzFGgco44HhhaG9u3S56aQGaAobzmHOXa9HeLvvPFsLAkqIeDuyMXOUTAbRzL80kbyrB ewY5SIaPsVIv0uGk90uw8nQfkbqSg5/ZROUWQ2kq6Spka0jM6kqG2SENF1VBI7Q5plBO1w1aBpNS vnxcYbkcUqEMvMgeCExzUHih+/TS4pDP07LIXp5h5vKyOTz2bPTJLhgOKq0yc3VzlfYg8jwwLfvu QlOA4sIAPC3d/m0CMiyApezXwUJmF7odL7cNfFsvP3O5CaIj0ad4DKT5lg3XNBgUrwzGUOnbXAoc aJZiKcxy6qWU/PX06T/Q3rstiiz3W4dHTHGadu0iE6SwB3nOduOB6lJ0G+S3ncZ14orgv1tXDV/t jiWbQnzPzp5pLvDnzB+H2fgcGTmT6uNq3N3PeF3sDlramgW6A/WgIa4kuL4Mboi8pHjPnR1DaMKr AIcJpSdEw5+o5sRaeu5ud2SUYtLVj+PdpVt77gHm1MfZbwk8h92FiaaPQhMmxOaAQpAhe74Y4cap Y4VI+KfQ5TkZJP3zxcuG/C+moKSenXpPqQtPEXn+UcfT1w+KLnSk+9f4w2fqdUXTykuiFDpZ0sdn cG8IIRJU00eh3+DPWSJcp+lbkNBvErqscgIaf6/kv1Nsx/mlc0Lxe/4UF6euq+7MszXV3h/1Dtv2 IB621WkrR4ATduB2pDlJxHpPpBTK20HGmxT/ETeyYywPb5ZEpaoNkbKYFpCwyw0cPhavYM0GXxaN BPZARM9o3dySzy5gyxrvpIzI0hZhktu2//YBnUrJXBqcnKAsSLqZqG3QbV4LkyicytwXsTpAprPe RAIvuvsySL/B9kxFjJojmuFisXy+dzNsrSL8PrYtsUzBFhxqkXIaIlCuEevEpdOalKSHjUm31XUu 8nwcMBDVhxBUAakqCV7fKajZciFBEaGWHSYhEmHJ99+ocSCuEIU74RnxQ37dPnUhhOk7DXvQY0NU Ff1nFfa+k9Y3aN3mNqikRXr13qJ5N74ryd0gsrz5yB5u1tk/2BXfqVhFW1fNKkIcCXb94bPtesDi pqpYWy5NM8sui743SWIiHlzFEi6V/qH8O6qRnhNKvNzhLnefcQ9YOaON+jh1sRNWZlacs15JCP1D MayBBNP0O3BR+qtEVmFqUWagpJxBCaxlGyEDCiLFtK87dyAHEaDvSzqb20M9PYrHu+joAiLUoXIz 47Un1C0a+dbEFYa03tgm18QIfVuemcDrUdTfht4N9DqqapFvCYQilsUcfU4KA55XzV6YYSvFsVWN y0GGUrDmNGu+j/GJk5fvsSB9xn7wYbZreRASdjkCfGd3bn4bzIijPXSBLibOLXUpSukKopAcW1QF c+NRLkT/+rYTb12DM5jsbvlEzLV7bBQPc1F7rY7QZSZsLQgn+B40QM7xZ9aTH8LnyxJUvEA3+Pq6 0a6wFJI4dgvqncbjDHTosMWs5p/Pq5xSAepM8WEt1usjPr65zJpBiCkw1DsxUOx5p0ZRH2Aj/Ut+ gcsM8NNSPNcokJa8Ztd7mhBSmu6Db3CckL4RckgLM3XIv31A54U5dahyCcQ0NzKV9k8IukhfAjeP 3I0zQLdzGrX9sqs5e+Xhx923nMP4uc8EShfWlI/ewZy3sUGrVzOeKA0h6YKn9RDYi7fB7nwgEy/x kug2fbuZdmXjZNRKlYik6O0xFZ6Sp6NlihI/+OSgs/Fsh4/n4R4UkD1+WbH9GmpfjG1bw0KTQrJp TvBg/iGMf3TLbQI9946o/y4wnxdlBQyiih88BqWt0WmwP6BXCEocejST8HaEVI9+MI5Wid7bvckf tn6bIUE72eX9Bd8snh8QAdfYx3XbgfWgjXgEYzfgTxHddQV4GgepqG/trILCSaNPFg10wQDZVY7Y x97IoQMkZwkvvjhov7dOGnba3w0RyMQIWLw3as3lECAeM09rMnaxgMGVkrwlkwcFlwlE42rqgmX5 y1FewRL4ep1bphCUessbtPtuRDQf4m453k7zMKR0k0aOETxsxuUc7hwkjWPw40z0agOKlVFG/r1+ l0m92W9KNi7JfnBt0yIiazv7M+R8rZLcZw1q1JvU3NIkj+cBPhMb7HYd8htjbmZWRQArtGRcPtP+ BjmEiQNdpMC5U6denpYwbQYWNZi4HB/oZUp9OvWh9zIxXQHA4PFKZjJk6CLOnyyWfsMmEH/Fgiia 2W1LSvjjveRd9OweN8Re1QU2GkvkI++4Ue0bBqEraFvA3Q4Rjjn/oUgsRM4LgZ2xj4ghO1tcBRPH dbnUrsH74PFG7B/QIbgr3tVslZZZMJZk1DqrJO8VwbSst3eZPhgOhmkinBAliRuZgSbumbRFeTGW hOxz+XBOE5Qx59o8l1nV4yAalyPapMPOQkdNgce/jFE01PIWYIf2Pf/BwdHUfAOOUIkYuvs2yGnr YrloqAdh3qr2jIZs5NwkIkaTwbw2s38DcK6i1rJDIoW+u48T8JO9hdqJ8wjI8itcRWZlHKm6L0sG fZU/vyRV4X7j2Jowfoz4HT3xoFUIuqSP25G9DCwYNiol5mM31j0854dWh9hDe+uHR07rK7LNUIvm m5DDjyqTgrlw647U7qs05Q5swJfp2LUOmnoSHoubIirSdBm8kMnEU2Q2eJzvYiwTkjPwyfDY2CzS /ZyQJoVmqQ5fyT3GNwylM1FbbEGY350929UGOfYHJJpzrXxlc+Ucu2AMHt+wbLnNYh0qLdqCWmal Qf/z4s2YdMihuQkD6zbQb/L2xua3CogXZ+ylp5uZBO7xCiD7FvU+HoL3CylKdoCFPZHkCNusue4e So1KM8Vr/+EWv7KMS6nGn0DJAHhoRs2/oSTFnkDfStsrHZsMiZFIPD647/Bt3UH6Kykm1HyiKqN9 jTzFaTAkbROiAsCQTL6UJ4glgQD4/MV57jW4hTvchUYVUkkNskKq9Fson7hBudFX8QttuJtPTSzd pVzeoXONl8HWvnIWPtgYSr0QQ+oGHYuqAJMgeldqnnNULVJQ/BjmmwsvPJIUce+IXoC9Fpw4F8F+ SiibxIVHUrnKWY7l7DUp+l7wraFZoPmXpoFb98srUzMtR0MeAHpRp5qkylnYl5+K3biTsU9HAciV 7H7+5NQpdx/8ZR77eOBdda0qON6ggsidhkD7UjYonWXCCHomugGPGMFghUG7R0yFgfmHPvShOI9Z QZzqV/4Ioa+VDs+a986t9+OI6ZpPWn8zrpd0aZ0RYUr8RWnHlXHET/h2Mxxj6VX3SjJ2csFoZ0Un WiK3QoH+VBCK2ttzQ2gZ/0L8N2TOiJNpjGvBkz3KO+0A+z6XQcyqlMHQUf66Qv+S5AtL3+/2z9Ft Qr+P9cuSYjlAhZYsdwKY9fTBm4iVOGYDU3ukThNbiwd48ZEgHE3WX7Bxd+krvWjrgEWo0ID3mn8D LcZEQvXXdDVH5FRPJt5BxRYRajBNQy+d4IU9Fq7Wj5ZiZfzJY+ehGHPtw+aiYM4t7BNGuXkGACel r0Myy63O20pF0QSBT2E/obER/75z46ZHmWoNfle/Tg/PiG4cYBuqDWsBYwLrt+mWq6Z7Ox8UBJGr qJRtcc+4+GX7u63bFEECcm5pgmZeB0R3o0tabigCa+iP1gJJJVH+UuxwSxFfLp8dn50MGp5p692F m9tJAIWFk5jBvWNbXOt/1BQ76Y/didEPVWwx4Kj6OrNhSGc61eNzewL+goMmR9cN66fGhp1SIrPd zssC4NcsXB9RRafW0IQ2IPmtJ0kf4LE7bVTaLpQlhy8dbAfuzbodvMavHnbk44pmIETEa0jGGkg+ jBQ1DUPki8Wl+qmHouwJeP13Ci1GWEZqzMg1YOetLX+MdKN5zhuGrRkvh4Y6xv1d3aiLVaA4x39h RWa+hR+GFsCEnUAtQUzZnkOgb490fCYeYnZ8K/jZzcQlyWGid4OECVmAzfFcGW+1bBJtlClldTib NPpi/MmY52Dd1/xtpnbxj+YeFRWxFz73STjjy99DSC0lQ/SxerBRENXUuK+IJnkmxzHNdjq/J+H3 4euJSGCw7nzmHp6XrqmFVxuCzMyiear13kTAa+yJZTYG/DA/gyE0XwpaxvWH3cs6xLIUU/1wwt4k 5FraYGHIGz4JIzZDJU8haL1RdYBbWf1cbw/rbBalldJXv4pdcyVxzCExUqSKZybXCBYIKPiftOU0 CHxg0/yDyNMq3oKqVHi8fLLsuLsSUGdi5EQl56PsFCWoxmMC6rNhB/453n3bR2pBPOrjDpSQY+PI PQGr+G8VEYFLo7sYKD5G0qDLrikExzX9VLh/vEmeo/wlNWa5GZNt/RxBYb7MNFuSagPIeIAM2N8Q FqVe7KoOMu4axhg8kTRGTd6I1cNZjMC95Q3JWtyJUIKaV1AxQqYmRl5csDsLN2fnOdkfwC53kC2H yLHzvxrwP3bZNYowVlnjLlg1rvGkGdRKJc/X3qtczIivnD4sSs95ImxcTPcsp+TXCLR7DC2s+bmf j5d9GnzvqQYRzd4goGO/D3RJwFiih4Pb9yDrgl4DYgKv8keFJyypwrelLeQ8+rgB0b2PWaNarED3 G7ASPFvAY6LhjjxF7z0TYu/w5eny2CM7QJnipsIIL/d8ZMl+KmBxMieZB3h18kucIwJNlqRNAj71 K5nwE42MSfI9TQ7cwTbxXeVYF/pK1cZanF0dPICsc51cZC+OPIBLr251S09IaeoGtU79Hok3cit8 GwJqcwA8xlZyv8Plfg8jY2L0YnHzGPgrgJFRQwaa6tWWCZp1KlIz+QpPoro8HwQUL5iyrxvU7yfq OnU6DCVmxV7Ws4gMxjyOC18VZ3A2Pe1Edrkx7nk15wO+xgeH0qoGlASqQm1FQkb/AHRUJQpIkfQm hJkh8iGeGat5xcV5pBBKPBb3hYYM0e37x3nAQrxRz/4YlKlC8mRcUlb8I2crSlZC4pdRAftrcwD+ TQ/JsLrLmetfOCheRHL7PmBmhKcU1ddZyOS9u+t5E+7MtgThtyanvCwzdReEo4PYP8H2QS079oyV qSuxnV+6Vpon51i9lwFg169kLXlR1YJ1RqlFszJ5JNd2diQyhTgmS0Xmrp42XjVu3D1V9vda2JX7 SNgdZHcMmp0cIFJnH3bWXoDJU8KA8P1r+EACbiRbalphd9V12pXHj/P3pyp+e/Pux19lG3xvNb8v cKNJ1+6eGK1ODAgaa13Rj+U6FvwGVqqdQVK5lzsAJ3IaHnQPHQUd76rAIvrWQ508OCOVeO6ecUy7 M/xtiqiuGhEoXzXgdoeJfQDZ1iVzDoiQd0Ir1YwREY7qc0xIXmdVCwWMjJm5AeDeaYC5HGnirtlT F802b/Tg5dNpz5O6O2bGtJNADig96IDyhXHnIh89rLehUWArGXU3WNKCiahu+Tcs8aM49HCgZykP tD2lRV+Inn4y4eB2Z091LHr0jXQljMaUWpXfK9CZ2+ew+l3EfPEliQyVwxiVnE+EHuBdHnjZAXFN r98fd/NkOd521oAv+kbeSOTOFi+dnlHO9t7+5bbzX5+B+vbgB1LNZH1O2rvXT2Jb39ezsRk2e9TV GffgjkaKVS/VlmVVQGAOCPmZoWjE2xUVxzNc9m/0RotM/PwsfeDv/vpfkrtEEPMNEnkCUyDJJu+t xrOsG5hJ2SfllcGseiUnrxmMDKq1bBP7odbTegjFVwYyYQgkeDXCE6+YUOJlIdqhkz4iCMfW8iEk QpGU7F6MxUKFMr8tPUEfH4RIcKgZAJ+lFIXqfsjgW7MdX0fKrLxYyTtpH9O8ZTuLsv4UjiT5htN+ 2qqfb0VPFUwdcZG5eon6K9PjKyRfV3UdQpOTQoxOTMQ0GZL7F8y5+/6Bld8Iul4Um4qt8FlYbx1d 8VJx7xtdCBSTgBKg7LR1aL34rkJHIq7lbRWUbwUJ1bAvzxxzTjJaZaQUjLs7bdGkxYGOEDBXyZeg tfqiuZ9S0Rgm7Q+/xzEeNF7e24EWzY8sesvuk6wfP3CP7C+H73x5iA90f/QicmvwZtMLHSiqw4NS Lf02528MVMQ9M210mrJxSMVhOqFzTtAnUxtO1bB3srJJjyf+nSy5AXVxevlJxTad4V7+EWY+jka8 +HwJDS2wqKL7Dsu7SZXfXaIzhtLxcGPSISurDTDR13UOd0BnKusYvFuw3wiF9Q7Wgv271a4gcApX dcmK1uKxPP/DkYGmp5aD9adO9LbYUg/UbLoaJ1IM71rBcATRIb4J+9Dx9U9U3XHBNFwbjt+Nm71R LXQmPip78frJsaahL79ZBCXsHVDg4thT1cylWE8CHloyhmDiXzfyrKzTQtemqu7HS/m2WaLViL+Q XDMYZoCY1oIsribL/jXW5DvUeTfyq3JpchqQc7w6W2zt2oLClBhsf6pWZ2HZcFpT1DzvXFmEgIug 1Db+ParfmlnkBENPtu0FXV+o/6nowbQNjhPIp9GAFtZadB8ydElfbCkN9EQJ1Vb7pL3X8qplerwb fB0b7wlGC29PBjiTT+mSCZCyGUblREdaHZjLqZ6yd4EPLMBlHrh85/hIEzBWMH54s1bAMTxH8Ygw VQaixTK892slQxB/u6dA8H+MpvzCwECzOsKLVQwV42JAYwUaD9vMMbhISHlgD6HogKPcoQ6lM9fF HGavI/eia9/wiN5nfGjsAOZHIidjOw8cS4piIb2F3xEoz+xdGXts7JS+jRpE0rRrkXVGJTxCYa08 O1dBSzMba4jO1GySyZNW07po3Qq3XamUX8yjNfh2jS9c5OgX4syH51Q7RRac8g1LwWX/olYAKekn 7KWu6Eq8yQDycHNEHaBIR9t2cbY+9cbjiH8v6k/D/ptU2stG7ott6S2zz3juObxOmbclgOI9GHXI ItXhhmhaGwBuZgdVzJTLVDeEeHiMJiVFxFPXW/WDMsfy99+T//pwlFwyimmkUILZS7zVlb/T04w4 FxxXin2AWTTkA95V+7Xfi53cbO1LZCC6EZYClunWRU2/5dKNNcyXrIfqYlQ5Regwdlt+2yxvtv/N UOC4V//422Go2JQIdn9auzfjMThqy+DM1fQ5zeiEXzIDVxkXj32mroKrVqxjypHZroAaC2J7L6mj JwRclI2DQJMIV/9rupoMz/sMOX7K7A7fXjSNyKDeYf30TslnAbDHsYJrC01flWFGsdpb2aVZXC71 9yd7skuEjzQCTKx8D0TOERnkctRenDAunMevXxTjE2FxywZxx2h72wh2ZNHAh1t22wvKw4cMgpAL cxehc21uuX+JKswU1hHB8fly0QGsZe9Z/EaLhkkaH6op3AHV8yo6DGHpOuFNUJBomdjYrefj7PLv 1Rf8ec6X4S8c0Kyt1RxgQPMEsHkBtLsooUiOzgVEGBVJGqIOWULh5wsFV+y48bAzdb+fWkiDxyf3 eUan0ww7s+MB/G00BhslBgLemUn5lW1PY5zCT7KZaaoU0gTffmef/SSidpDBWxA8G4R5mC7kBUAe BNNR07B5Xqy2QwtLFKPHNl+Jxxxcc0ShHkkwgFUv1EaqVjFu8E5qA8qwq9ylgjyzjS8zRbm5l/zL AN7FAN1jfJNK98SJtLbibomzTvfYfSYV41/+PjIFuTHlnZMugcC/92E/CpRlcIgP18Prd2SqfKkn p4VlUPAWiK1D2QpXnOBtxgY/mWt0XVG7cBMkbiFIQejJk4dgLe//Kxfp37gKcmcD2A0+VxmdJVYc 6CpgALxP82Zmgpi4ZUsvnw5Bs2lGAH3yV/J4djNsiBg/d5HED03vSL/H7Q/qYYAeGghW/ThtbYCY 9EHoidqBd/xs8J1LSPk9yxPxFToTPi5kp0tSn47JHDa+2sKqz6WPlo+0ZcANUMpSnBQ+NQbvpwXl MCjJw4HikP5/4aKe9khKVVgj7+6QxP5H/0Mq+YxOjSYcIwISyyAXj6VU3J9/czNMdl0dMjn+FOR4 EINBnxuUEBLClR/F3J/2v89qgoObLhZTtqFW4V94KZeYOMQSDHhFbM+go1XIQ5u2mlmWryjkwzX9 NRWxabNCsYFfYjOqA+B249iI2qM5HiN4/ez/15ygAoEIi4QRmNAtVq7sXv2XJHHm5CpkzJFv0qqg 3T9QXSPcmieJ/s4ziWnebSfN7Le+gtP13KpQuwsNzROxZ32BP3Sy5Ix12ExRDAvLKvzDd9M2HH+X +a5rJ3SJw8Vo7iy0yWeyd4LR2SRKriGM11Sd0lNvsjRSVhBYzX5QEsShiRClonEVQnrSPIx+T0nX YGnrbyG9Yc4cguhReIxLJofNnSabhjheyAfUEpADt0kOhnMJlmJFjXxzjVJuPZcJRxgbSPVQinoi jYoiHNYZoRPaCQXitbg5/jug9acN0+uDl6WH7Rvwapoz1Lqu0ggV//ucynFfRGJARW4VKSFOhsea MQga7eE8VKA84kJ/BcL8DDST7U2Fx2ECCcWlsMwSvSTUEsu4zg5LpeZk3b/X4hnhZfvTD9ylmjuq RaVv6MAs1LqnyykuVlQeS3M+YC+vIEaoPLRWsd4ahd1Jwnaiz9rK3cbJdpddCWUbDa3clUmAO2OZ admaYVjow9vTKpDDTOZhO4Hhb8Yz7p7jMJIX3m1G0F6FNXr07Go3sd4KMh8OsZIT6GLVtI0W2eyg TPcv+4CLrsc96Zyoc/Ea1MVAvD5GFVLd3j6gABry7IoMic3EUN8oD70ak4XzlD7ox4UcDGMmZ656 ww1v1NsD4U7Zdtt6UlglFDM0Gjm7nLv89+7Jy1FHuSWaq2Ykjh5NFCQjezZwTex1P+m3pfcaLF+Y RdK6qJ3iNNefDZZ7FS0CQE6v3Mqsjcy+M5Xi8KAurwAfXUcpFinKUs8+AKpzo5JkOWccXHpsXG+F g9cQebO93vwfw5j9+xlzWe+lZ1e/Nz3qkZOCusxW5d+XAMqZYCs2mTfHa01tvFCFidGdG6hoOsUb 7yGcwa94RlC+QLbsmujveFZFptrTrZ5F3CDvUlHozaX8cHROmtQoHgHMImELAb7X80ztxwIGIobT BMXN3ffIaoGNQTJYTbT+BFkxe60wAq5nnRH4vhCwi0aJx9WDXfMt9xutayrCyDEyhyxoeGjlXeDD 9fFCB4JIyjFdfxNg7U6IZFHj3xQHhXbWC1tLMpvEjvuJaeoOfjGE7ByKVEs4Ljj2/O/BKpVflBJ0 oU3BdwM77KLUaJdY1ROhfqhJlkb9cWMXJyy57/5NJ5FMkQKE3wBolm6noSOLIjRepdGKeGVD+v4x IVgkwZniOfMG34O1I/NOw0dCVNMF+F1/xOxyQhn8w7fSiSCJVoiZbERJwk9lpjCQPLgpRVHbn89L TOPF40OpIMG2TcBE5omu6Hs54sYMY8QOuqMwplMd7UgkD67Q1UyDltzfRsBUij0UYDS9kkMgWLpb wowKpeNUXAb1wm+xkcE+mEzHOs0HtbcG2xP+sturws4Ze7GWYXfgRiLKx3YNOxjfKlDwFEon85PC TObVGquLnMQZtHCR3WTeRkqMuvZ8Hc4eGs7zPAg4HFSSmyh4hEtVGMyQnAFvlY2moulN7H44Ehg9 SygkM4TEj7tCufi2LQyn8uWemWZQivRKFp6mBLOj0pOFdWIIYVWQ7rvatPG1L6qCqh+R9NhApPkK 8uq9bq2Kq12mC3e2w/5hITM66bXvLhmDlG668xibQtYHIk1/eafZMKyFttiGBbwMVvBphjvlf8VM G3yC+Wllm/uoc7iVrY+GwQNvpXfAj3TdoCisKWerku6rKBZhuNAX8d2ca8xPmcwmt+UU+bBltPf/ z5AjmluPMtryZ4Ncbq6XC3g7c18Cdab0rR+IppUJG2yO4dozB+F9U9YTGvegwMqtGLV+bSvAjpEJ qdXWFR9znbRVzc3CUJxoufNrxpb6Q5vbvhJjnLzOgIK5PEFPeu3DRAgtod64YmXbIFJ+y5YLqZjJ 8ervwKOoDiOioTCMZII+1DqPzhLfkduebM598xda8VQMoLLI7Ik6Il/6z3254WgHW3DVhzKBMtDs gJVJbF2A7NqW7sgHbmZdkfH3LJgYMLHmJrMk9OX5buRiKTfQ3S/YxLAevqpB5BdXZDDMZ93ip3YN W6E3dHq6M17Vf/mlQXq/S/BpwcDgbq6xixldwJC1QwDx4frXzGHR3BEc9m8xtlCpCcjFRILhvR7F o4U/2JioxDMJ0N7430y/e9W7GcGVXEQnhfPbo56tVwlvOq8+8mDA6mmDryd+SeWCNyY8LhKT+Kla F/vlFEuW4eg23hTND91nbZK9norTd6X/rq6ZviY8VZKuHsVCe1n4SPyKX7Em5OpmJicIzYUUsYbb NGDzA27U63KuoxclGsQnJUJSpkJVcR+rkpacTumn2kvNyXM4+xiKrHVoKT1DT2kee7L7YuVuJo8e oExd+Qq8EIgiCOMlhWvA9dd1weJFLQsbMclaK7lbHQN4TBzOC+IEgYIRA1wKgx6NmbHNr3d2HE9w W3eozSqlnwC/6uA9G9CI8bWwYKV/kTz9urACMF93UH0XuHGqRzL2b2E11tBpKZbMhdnNv+wWn7G0 /kNA46gYBySY0WStQheHN6Sg7T3F7HO/zfiMk8K4xM9pY8dwjkiXk7+dAUta0mLPzTB39JpcMiyi xQGIkFdhHc8E4mty4WsBA6LJmrRDj4G1ix7/HKw+1mGj7kbm9SIL84yONFPKXUnGXwN8bWgKSJym 7gyQq+xp8p5IUfRfrXyfMYmvQbiv7WemygavHN3sHXexsWl+f22EmjizOxc4RkFy0xV7+E9Ap2mi BiWA4ji1CZuQauNokTAt/b9+YoCLqOOUlKEbxl/+plZjER9XaGDCnezqhkv1D7kYLjv4MQBVYnjj KOV3Ag7Zk3H68BH+n8VjILgMd3ey/huYONu7yw8+UTDR1KxdnMiDZxc12Ermyn0cNkQXLhBM+44e dFBPPu+E21spgQ7ee/P/mvZf3iHRD1mLELUr/IpePE6J9XpsHMS/wnroV1Z6IiNsyDYLkvSuuO7B FY38+YRCT2OIGb3HZSHf1lVU79ntCL5ZqwYtRGSNdXSCEaeV0BuNu/XTbW5zzB5UaAlohhfdTbYy C2R8EYSIHNuYhSGtXytP2765Nckkz9oXAb91kHFC2pXC0vPFYcPYagMd3NkoTb7OQaDzcxvLq3Tz ZbPClyBWD0MkGX4fTsfFhEzeJNHZlcTYDUqiX2QNBCw+ghDe95bu7bsQe6wgeI5s1k665BYmlgiN FMzJCbpB5wL+SVBPT9wKqi9RRG3JchUtxguxsWSrSr9UO/dilQmkwZHBxRePinXBcoMYsf6DdS+q aKegELY/vFmlkz0Qr4i5q4SgR9mEgrwqSrj+J9aDEfzU3i2q9OhZlkHbJEccIiY6gHe4LUWf1raw svyOKrS86Lar77hL36eX2VHsRmben8Rv/IRehAXJqd4LNT+GV3G9iR77hSaxK3WuJY4Hjsr6UDqp CjDdOvWKX4CgFcJYF1TRC9w0VDdtcWqbreElIsKqh9sNluRKkXYjf+nQcTn4r/T9a9Cuc8uvj376 rak70Svz8KBzlYYI7N3lp6Rh35njAUG9PdPpi0vgXd5nPvziUke16EXijGMLAmVSzgK60QISLufd 31FRgjRvZwcOnLiAEjUM6rjCfz7lYZ/GcYAKr7CfwYAQNxlI/ECNxDPcpWF70RpMvQiVcAb/Cbfw GeNOyr3iFWLqA+XxoWfWiHAK4LRsk+WFopTjDTTG0DYwqYHtKeVr5hY7kNCqsS/6qPgzhO26FHA3 adpcf/8dPlcBGaiQtV0qsAcrPr/nXwPpazJODcqF/HdpRaUr00Bdl4qqOwM3ns0t48/vswcyda55 QJy48+N5RfrymouWYEYdkcPL4eiNjI6Tf8nkE5K60SdMaEebnuvZV8fDTN9otcnpnWpXVCKVryOw 4rj0epno93FxPi5lk7og6/9+1n9yGIpnBcg6iGf0/z4UNkYblUd0viak3LXJjPBAhdNHRp6b8skJ 83py/fzRvXTesmO1NgZdfb1OsSwsJdQcEl3KrLWnuvgFCqZChSS5Oy5aA8OcsuFdEuGJEw1GZqp0 oqOT9NSzAyFsD2lOJSsfpRdKWg0sqPZlNhne/kGOSwtraSye+lc6nGBFaDpJQesk2gKPDJvaiMSD eiwVikkca3hRT4UI404Vij0BALKaeIsCeyxUozmj4qs1GvVDSMf0sl8OB8uwKFW3rClPu2AHVyRs Iwkzyx3ZFadyeeEY7ng8z/HilP63r0nXCTm1oV9jO+8DAdZC4Q/ehgcjYOfyOr6fw2fP+Da7MVEs MkiXQX5XZkJYV82SnW5+xXQkZ1R4yvHYVDNBsftGa0NS008JNQBfpuBTE7dsx2LGz1xpvCIpLVJT nQt4iM7m99lKO9lWRe9TA8So6ItzK9GzdeQg6CNl972CjQh4v2dtpKPmX23PzEG+SNOJSKNfhP6b fwV2bU3TQRCdMXX8R6wP4kDLVvWTnO2mtPqI+rJQQS4BPSVmChYpY+D/n9iLJZNHyRg5nEjfWSBz sdA4RmysAxuqW0hHtvIwxSXO5CeHrKhvp0LDyIJcbyqZQrnXd422B1F2wEP6NsVhU7xVX9qsd7c7 KTGFiSEl1kZ/KXU0R+nL+O0N06cpsBX7L1Jm0xdu14qIauOB80S85Ea1Aulch/uuWb02ujqjgUpj cLEuDuuROoeoDFSxVQyE/L9FfSrlptPQgeNndy+TH0AtjsIwXryIEOku+rmBYoN9c3Flmh2JFRkB DuK8I07vek9FSUTRE8dK7ecYhWy1kit4oBKOQeTEY9v2nhvYkly4atVtTREeBMntMoAKosFz9AeR dRxAF7POjoqP0rLzITJyhX0FgX950OzBHFs755QvC5t3EeFBsYYp8dZ8AZym2BV7BXWlmIzpwcpK 8sO+qumksHDXStnpiKw4qiU6KzYL6y6Mg4cZNjZDBQ04YATA1aYH0Y9tPykh6suuQCeOyNGzG073 zp/zLiuUkYgnb4PZKTgDMNUaf4qUMlh8rZCN5RYJNITFdn9+2iGsWC3rxQW33f/aw8dFRQ1lpVqm HIk+2ZDIanNdY+8NjjuWi5a77B8xSub8agSaAjEIrQOmr4IxouBIl227BMuW9nwRYmPOYvrgTdKe 1M/87Kmn7aRXIyzKxUngsF3heGViVquzMF+qEMRoMezjxbg/32x3xIgeLkHcfaCkOU3xOt6ZUuWr Vi9wKTfHuukAAHgL1HAx/x9HisTMe7z+r9LePb2851rezkypVZFBpN69fZsswTmF++3B6VW5Jp96 GHp3Ae+Wt/24p23Rx3i9chnt6DAG6eQwOUhAmeXl8fXI/4pT8zU1+WRWb6Qv5pyk2TPoLzXpCcfF OvMP5eScxb6CynjyRHrcp76w0kxE0VmY51OBni6Vo2vcGEQAiJDjx2aOUVekm69fOAbE7g1CsMkO IHrbQstWghDR7oOniCHSEOHRVHR/Zro/0kqStu2SkggLmXEgbUgUul3GpTfnuNQd0fvzRJsHy/ga +oCCGQp/7qpnSXbgLMsj+eZTAMCV0xKbdmbCIg/HArOiN26ROXtlsGSH+4O4+7mMuNTc2M8pNrBh znyaVQoNBaGKPtL37oRdoZgREBtMiCFzwVtBFShmUDRlFBcCVjeIAEsv2Pq1aaD7iXtax2hrZtd7 o+rQxdIeQ2o9tEl+L38rdsJUoIF/D4RAXSl6VfGRYsCV5CqxWRXnlzI0iZLB8NMaFmHJ2Be4wdcR fxLKi+2mzpfISx+LByJdO9l23cbsND4l7vxEMpfVC3ry5ipneau+Wtkr6Zc+Bxd2wjBx/R6VnQa3 ZIWNgBE+1AO6mQsz7SRw+nb27X/hfU3Rgf2Or375dU7btCKmw5NuXwXYqXu2Nj3y0U+ulYgTo0yU 7aUdXCydvniURcnBOuVCFdgRfNoXcnTY2Outvfp2u5rsrqJE0RvWL4q77BpJ6Uz4IEaW0Ku8cmbc /pmpm7VFOxf4hIhhR2canc++TeyrOP+AQ2PnSH7fzjoNyWDkll9rSt6lOzJit7iYuywQ6Kk88lLj 76g4KjIw2ikari99qA3zVo4I28sANQTuzdO7eyomakYQobdEFbChKSuyvB95qMDfFtDnf8Eqxc9C lCx2U0eFt4zqSAjA/fyy8b++3cLxUcsqztZSSQEs5fziTeNDMSoijrY7prbo++3G+nZabgdlTWHh hxOLfZuu9ZPFGHtZ4TCpWr4vdZTPqlfpZObleAXwgo4K8YWtncyfbVpAb27a7lM0JWeQB8TJwclV 8vibvJaDeGab912QxJ0tFiaMweNZSuTaBc9ZrG5Ep2wMPl1+WYV9WduQjz7tV+Bd3v3zd/v2ajrp ipOIxtpdahwNaHmUs1oS7uCtuIxjdMFGVawsAMM1mw0qu6Scc0z9sTnSssk2cp9Ci9PX4XWD8jXZ uGOF8MhJTfZT0ty2oynqwAq/AdMgJ5aslu+6YY6H2OUH1DlqsQmUY5LqPwZjXQldGTd1gESUXrBk 4yezTGddx7bOBYmtLgLFxz7we6VubewutvpxgzR4pLXtX0xEQMBhyUz0axvGB21XfYc28iuh1M0q 7TmyuVMNAav1TV3TJZ/XYudgQ0zdBm2UJvctZkI/6breDu82w3Z0xjVCfRjzZT0FdxWpupYscjnq VNPiIJIJsYf6JgT6H5ew84+tJA476sEuBvKxbm3VEMYkisKABkMOUfBLfMGprvw2PrrxLU/+r5fJ MkimPfxhj/IkyMUdIUqcg7qvvQuGRQOiK/GT1xYZ16U0t/3/EDV6gYaX4wnr8zrDSlHOGOzT//z0 AvE1r63Su25wtyBMAxa8b2xt9T5cDGkRrGGUyYCRvD6o+3A9fheLaMWQX1WywNMoW87FksqoAzny QiGr3BT9lEBnDawiOooGnw9hHEm+wIC0bIdUorFp2GWtBw/zrHnCsZ5F4Boo5k9A+OB0D1qNIA8h +6y1fhYAKbzaSnLFYFCVBhR4RG7ZQSZPhkkiIaBdmKb+BD+Uo9APsr6fRl54OAI9aPF/qLd9a9x8 Qn2KHCGxjwoPJODw8WrwFYeazsROjbXV77YPK/OXCasN7pcptLKc5iZ3HYWUKY3SXCZU3CJj2qN1 W1GLV4zD1S5OWrNHP1YXqTOEIlApVBjyaPZBmYM/hCzmds3/VO2O7kmirCZBwr9pPJ9lou7kUk1z YjULWaXkSW7ZN1F0UYaLl3y/dEprPQX7/eKxHfFX8aoC2c+2ZxRNbQIuT5iC89wzTB3RZM80qaxa DL2y+EjVTwpnQoDwji+XWsLkQzPM85ISyqYw8rD3hCvFWCfZ5gVDGiY5uxTL1UjFZA9QBVkt1Qwa 24AwxGmrSgRR+3eDenjc+AL25hGDeoE72ziLcvK9GCm50V3BxncIU6oIg4wCLVN/XD4r6zeWsNsM yTU+EDACBcD0FcwqATqXvPb80UpOA/ACO3UYooH1xPss2i1GWNKvL/okzURxgB2K9Yt6jF1McNIq k2wLuu5VUaUZLVfOi32XD+F8b1NYJKWg/8bp2BDl+idpuLrn7dRNi2XphkI7+OrklsrgHwU6v7bj E7qBP8Ooj/iu0QFQ6HCySJWt47fWRRKtImKWMc1s4Wo6vekg//EFoubCjeigNmh8A1H0BsAlsQBK DO5hufn+PQ19RizKexUATY1EtCZN6/mp7hxq8A9AKEGu3kAEbVkbsDYnsu2VLf8snl7cgxtlJ1yr D6244GIylgchbVdzKzVUxznYAxd6Zcpyi7VjdyVFEYvrHJczTgAPJp5Yjvu8S7b99lW+lIEBqpH5 pjg0gDV/H8KvRDNdjQVqBoTWzMlIf6/+bNTMBJTFh+t3Dvoev7bYvqwY6eQ6+qmj+2JdrOexnfVH QkxEXAUBcPbWlUqsOB/NXK7rxYRf7ymObeaXFIKaSz/9KJgmuEeRceohgqCI5lnzW3jCgHHsWiBK 22JKi978eAm9cOoGUaKtQzpwLAU6QPcsCtQ0LG+HFQA5HrK6DqIjc4O4oqikeD/FcKkL81x9MUJA KXrLRmsMslXk36y0STCbxaW0F1q25UJRs7G1CLroTmGHrKxwYQf3ecub24PxIuMfPurEGe0J+dA9 gZ5OyXg/XVQKYxViG8u00WJpKhhQQ1uRDet6RyPDEkIozO6XswmOkO+Vim2/Haqbnzo/7TKK1/QY tkCOVFMftUjFSGXRefMGrIj2L56YzNwXL/YPkOf5DPfEkX1QGDJT2hdmPLvUh3c3Vj7MB6fmN76N b1HXOsB7Pq7ZngWsCoPuP630yLsJYpbYqWQxVgYHpvKoANgsl0W9LYZt4wKL4+QiuSRqHhX6wBt3 YpRuenwKYC519PYaXk4GgYWA2x0kk+sbZAFUxDLANcAlQ56lEV1vfaEVeKihECHGDVbjT5mVbvpG RWy0qxwGr02BYiNcIfDV/nK3M3JCKWUPO/wGwEEJJyFWezSId8LlwZ673EFvJWL9F7EomqHiGJh6 z4l4fwP+BWS3ZCO94BS2LZMQB0/hEb+5f0V7Wa5CZwLo6Z9wmLJjc0GILrRBjQVykAFf37MeBvcV DHhZtFrEBmbaFgcxwXE/2CIxvSpglJxQF9l27wPbPWhDwx6vpv6iU6u3N+yEPJc/Ik5QGTW8P30L 1QW63f2p7Lgv50rtcfkQIErGZXsktzeq78tW2R3kGs57DX/BUYzMiif58szu3ih76qer4+QDKizG ROKCKK5gBslEFL9Q/lPiiPk0BAA1KmvCQb8mBkpTzKyAxWqjyEarKWJdGwXrqIamWZrq5B7WjnEz KaEQe/5Y4NHtNnqIrFq5+gZFt7nxR1xKAEVNKOI4XFWFBdlW0pho8sp3q0u5llhksW/CqfDekP7d UmEGlSWBNyeN8GYZdd+/tsWQRgAtLJs7DcoWANaGzfHdK1T0HZG+e0G0HudwwLK4oQKEGj/VusiH 21Eggn3jzlQxXsEQqy/6lwgIRPAcFikCH3RxWyX70ij0t03gFsWTPBfFNO2xKJUdIJBVMszN5Xvi jGgNkKmCBQCKjyJqEbCwUFcQVzCI9zGFftIlkwuIBlIDPmT5BhTMWB37O4wtCJZuuPXanhkb2ACa ZeMd48dzdNWVYKdEI774Idf4YurFhCl0WwkwO/q37gQ3Ia/BaKPJiCHEWtPRP2Q+ekyupm5TpL+H FsOo4Uvwc0Q5KPnyXsX1UfVhVMGLCHA6788/hr0Mlo0nesSlv2XZnj93Yk4n2e6aMl5tSCBGDo6A C/uDh+Wz54qVIceXWhztpPRdYL8NXcvq9Tmpants3nt0rk4gVYaIYv72ITpT+uoDwWuTuD2sCCsX ++xEBmtodV3UdFw0tOgLGesJPUBRHBsLDJ2xZvCyLvgTe+LNeyjr/zN/DEd90fTW+QMCBDjQJHJE VVKz8t3zT4qTmdFMWwa04ACR3qtUd8xwdon87PvBCuNaHz0xoGUsNCU2Fo6mwsg3ichADAoFemjQ JZMsbRJew4F+a645J6i+wyXTB/yMyTouuboK4WqSaUqjvHhtn85HKwSYKaW+9ne+gg9khPZfBJQn PMdsDskciBmj5BlYW9eCyFZ3iOWau73dqfNyytGlQunCnh9GbbuTpKLPEj4tP4gIBBXU3eAx6gcx EQP4dELfpnh59SjEEmEUDotlp+9XNaQSazght9qB8LYQymxt9MiybGf8cE8C5ww6pgJRxHZHzokG WjTYTrCw9uAoaMjdp2OBwJfXTunct07kg3A41+GsE7o9C+/AVQsVJtWq33JHxgTiGJ+/Bid3IuO+ nuQnZ7QNIk0xhYSBnVt3UeXWmCBOVZvJuO4nqFL1zglbF9wFlBTZIr4baWcAu5fB+qo2DWI/mRWx UiVBtDz4lY3V5hJjyw9oZ+WcGCyH4Mk45DT+ZGeS9/W3da41WPDYlBmYtGJSp/dAkNqUmNaDLhfc dm7F9BGPlovrJUiENbwRfAGPdQuGTvC+9IMtJ/Fq9wiaRTKnjtO/8fZk/tfpGBthvIA+SLbE0KF4 xPcqlDMbCtx19eoKWku3S2Ld20IcAyZxYykiU1sE3Iact/qgs3zbB6+Dx5c6J1vZlqWLt0R0sUOC 0VYjaRt8CV4MFwBDu5sP/V5YNnAQ3GZZdN2Kk0YhB4zGayUp49x4cQHcLB67ju225yVeBdnvpmDN yvPYd16BF291glsSA/f2jQWKgDIAl2UOSAG1jO6jCawn7NTs9vSF+EXICsqE0SeR1+rndjJws0ib WXNXNk3EF8nl9i4mD+u5ILfoct2sB7QNvnS0expYHNDH4FIoBiO6VnJ1slf0NfJ5V6yXG1K6tt3R bSYOPpDTLgyKN1JRb+Yt4s4N8VeZRwRC3Ozj5ebPkbqh7zG0Rfn1YFtznD8pZEcdjfamL/tjtJmQ ik6oo0RNxLcRRInJKP58tRfw1tInK07V1MZYlIj9gD0Hy/4ynGBcVAotXkZvrvuvpCdpCMcmxY0a IntAm5iVw95vgXvxX+xWTwB+PTeLxiHz9VhdwtiD+gdlPWN13uChZCxaEk2nidnORnunSqJKU1Ns fn/WJ3Rh0M8BtryJh5v8Thh81NdL7vAsS1/2rpgYMd6NNDCA2FZ/dGFpeJwoT6BpKkq97wmXi5Sw yPlukG+Q24Sx0RzsBY21v/ESVF3HqjVnZiWMphqCLX0c0fHWWGHekmA6MngRFpuhEiRGh9DwRBdJ +W3vCxToIP2CEaUrBAhdeBpl+CECcsK5BjXJjar4IY6q0kkR2IqimIwrwNqTTjaN0b1yKELVItzY DVVmTEzCo4QbOvsmF0RJVQB7cZ/mZZSDbquBPm56FwXZTBsDuAMDkdWI9yuj921B9AY89B6uTuiP OMTkeIGAl+o6rqkFseOJOmWDAOLtFh2vPCWqOYt7FqbQX1g6teZ0ZaHpByizJE/lq0boC/i/oX7y 2WevhzC1oUTcw5MIu27RuEMF5bzqLBscoSGao1/gKn5XQ1cZnHtLhsXC9h+2t+Nc4WN5MUB2tNzR FIMj9WBsEUhVDgY647t6v+PqeP3f3/g0/ZpZ3lNero4KvuGpE3wLOR2jxPQHJ1thiesznCZXbXM2 nlGcCQ+1ZgntnjVFnKQG5P5OSsgxZbsxTsy7pTwnzZ9NDgARi+d2+Yqy2x8Ins7x0eHloH2fDg3h VvuZZplTRZ39iHZ1ffoRPMYBdmRkEkTkZ599SHFNxu0DcRbo0+Aj53sDH/gT5zCKgj5qpjGIC++E fEX7gwnCiHDG3I1KgwKOB5tz9fzLMNoEtilE25O9pLNRKyh5REpA1ggnFHskWB27JR/ZNWV4iTdG By1VJwARFljD045X5Rv8dgZZIdEYospuK1X1JgZBTvCWbrFNWsrlKVud1KHp5lhzl9Gp7rsGXMZs Tj5eaAtc1XI+DcsjBcVcpxyc84jLzVQKQyI4q0kht5UTtNR1f6ET1vN0rzZm8CvLXY35/y8mhqIN v4jiyK83S68yGsBoY5TufY0famURcBybmhO/rbL3VBN1xu8fpuKQsGy1NghzL8C7hHvxr/AUnXtB EW4HPLnT6RV/M4x+cppjlTTrYUJiM0lg0KU5nxre59HQjzvqWg1mH4cQ8wKqUlMkmnGHdaUNZq7U +7N4TmhV2nH6kMUmBkv2spTe+xEtCA4neE2q/uTfoVpQTg5rM5+i0+IK6uj2tFUthqgULXrKBwG9 ngRpwoXVgEypqYL4Be/SY7COygeeq7f5pJyRzzZTTfzN4+6TlwYJb7/FCGMNQeaxlG6UcnCGasYn bC+2OA7QQjgRMPPbd/TfLCJi9tM1PD1ie4aQJAbPf+kmpuDJ6nGxZsHQKQUwZ1O4CKh/gvayvOmu UM2WC8xycKVs2yIXW8K1rnzsvWWxT8xQQwu4/wQcvbhe2GFdoxGuA8YC/hO72klr0H7Ci/gN07lf LahhCNjuxVa5PCTF1E2EShO3c+rDTbYkYPmEWRed/nsquWlKilk8Id07oEfyIZbUAu7Ysbq+zBO4 aFShB7gLd+NN5ZxMcuypvDZIJpD/4HeaXZSvi2zWA5Xlr8DFI+du8t4+aDt264LQ5APMs3GICdUz zg8bd6bTrgoCjO1f0yvorW4JyMXMl8xxTxtScnVfMfeKFlz6eLT65R/vtv5mzBq+0X6/QZQkmO6N V/qMYtoQw+7WJ5hVAy8NaKVR8aWlTfAcAqyDNMuS0UusAOhi/lCBmd/6LWj5Jbjrv+TohhrDjtES K+4LFR+0sc4daGDnhb4krtTEL9Frt/M1JvvnwNwVEC+mY2hppP9lLYNgHIBWHgZ7F+ZLVhRms+Kl lymXJrPkuwybualld+eez2g9+o+qPS0vfxE3v2JSoJTpr0Mehwfb1HefHII7yIi4s9A7kpOsY83W j6gMcJJMeks18lcXbJhHKu5X039y+qqo0JuSZkB2UfAJTAdq3nwaKEC9mA/lSOBXLKvDKswx9RSj P4pAkDUCNSB8i+6SxppGtthCwavL/G6LO7nXRsjZ8tX3YMgaEyLHySMv8OEpWTIuB6IfS4TgG4Qe ovsV6CJGWBx/cnqCn9fm0ugyrFjljY3xjCRboSI74HZPWHts2laZkNPUowiqZjD/zMR5mP7QBvLe ZmE9k2h0foOT6BPDyOg7zeYGumrPRc49m3grsOBW2lECj+aoFDNAiw5PSK8/6kJpZ0a1Mf7fZ+AW GlvKuXaoYR/p75yYHA0VuT4yvb5i1i+ZZ3t1ZKgeyemtsho8Hklz64D6hVpr9l4ZlJr+DQbzIje+ 0dNnxgbwTKFhML7FoptbqVgWX9bzYMmXLrGPR/UkTiWi9CoMP3v0n+/enJ8MEXjylkXDnBsePDqR c5LKNEClv5xfkGl3m64f7Vg2zRx4fQXx2bMaZbGHOtnxmp9K4tUU+EnPkek5i9FVh7ugLIZmGtN/ 40UjKU9QKDJaeDCb6IK0RYDDh71Rp2KFzw1kcFM8zv+/s5ozQEwAEFL1DDQZZ6BDjO1sZ1G5Nf74 Zm5bXVML9E7cI0GRETcZnRMCLq5W3QgjoV+OuFRDIhTF0yCbV/1LyGSgqW3rH/961okYes3JGOnQ A2l6DOBiaZmnmt/IyB6Rk2kWWxRe6/0vXz4CDcW5ZImsdkNNWmE4FSN+9yStFBSefc1VvTtNHoQQ BP/1bUDyXmE8WbjiSz7QIy2KrsB28K4VDdyvtGp6DItAVNej5UIWY7/blOmmOpqF6FupxKg/Oqic fbfl8O5WBzk+zV9NMS2Hlr96hjbx1QkHabIKXhWsScmEKa54bFZmuUx/F195EakiV4y7QxHx3MCF Dg82xtxxCyivBzwFh9+a2/zhi7HR2kl3QpZwnraVI/SVn0FRUcO/cEXjLeFWsGqBmFOmP1RT4wcd QzzyNWyMhEzikLGoBdp/Nz6loLnutJUTiGgYsJYEUbz9zfebTVrpmLDa/Sb+NOFNs/4IDtitGQrl yxtA1p0tgIYIjVJj/drJu/GmaK5Jwlxu75rKA/qfkVn91k1nHQ2eFSkcEEQ9UaLHXdhLokEBZdfS HzcZ/icse8JTgwxR7f+6oxiiDmB6jblJOxANqXo9nwnsW90ym4h4ZBRcoZL3y9fe5B8mTI4yuEl5 +JpSVP75i0xFoed+XrpL9mQwc0TQJK1/LOQYuqLawPeIdWL4O0+qY+Bm0csi7J5oSv1UcQ0jDgip cGO7EY2tNjNj7WVROVANAr70Z6pWbKofPD1NZebLAK0iTHiOW4mWE+RVAIJe9SB0XehRUb2Abzor ar+oLVg1E2dcsZiy5oepQj+VVa4aZ0MrWtYUG5RqocC8ea0S2jjCAAnaoRY4qkzjhOPD09SVnrCC x5EQg69AaS7w1joBREm9FlWRKo/QOJjTy5W+o4zunkpJcvwBjfSDBQ6B0LBHFCpPTV+tM5f+KKrI c4+xbGAReYON4lzslyDISiYIwCTc+c0SpJ+I+wvJ3IX0riTOcg2xFwAL25I7CsLIuqSvwz6oIbZ1 46JWAyROBg3YnsEVQrsCAGrpnlFHbXfu1jUjSz3Ra0yyRUvgeCZwxOUKLF4oy1Wp8Jp/ha8eWnur 97P3ZbA731JZfN5drt6cB/DNq+h0jgfcnK++J4HjGJCUOWwAJWrTTpmvcSodQI6wa1IhptChxPMN Ydph4j2qoBRzIXufeM3cVaiwTRIbmoXWgTcUuZwT/MdtAGOqsPTTPXLG2bddgfHO6x5Id/MyHF1u Q9tzZx5teEbp/nC7hfIixMCwQQUSrsuYXd24x49Zgn2VmD0vDLuvWAlJ0u7COAgyrYMLS1L0cT2x wUz8LeEiSbCCIwf/vifIY8kGZBQaj8VcbCIcJcoOTK6dkB5erIUqe6q6Tu0e4BTEHn61UfQLkXKn EcvbR+WZKb6qzLM+TPg/aIsUFtjstINu2ymtVeGLkMYc61KM1IyNkjj+oB7P7d4ZTo4cdM3htOrv 2fnlrNWDPGsxm5UCm3EVIzuYF5D6vRO/LaNrhHJ2fRvdZVA3xtDGpZUw4jt53QPWX2elbwekl98d n6fXb33rNQp64uVxQSljfKEG6vvoLwF9YcoHxYWTa4Bu43Gwi3sBV00Gmolj2UEjoBnEHvz25ete JZK3GTLs4tnfUtaUWim6pVr3sS9RrGg1v5dQXD0gKPAx8iDb1WLtsUVrrPC4WJRt7fb47Lr7ql8V 8iP+HI+AOEQZSXUAfTcXYqQ57BgQzq8VzdsM9eRJM/QoeseFTiz+cihl7thGYXi2CmeRj860AiP1 lu4WzNR3Calsh7Jql2qSW3rJ/SUxQVxmDQVS7rG3LxMDNnxuPLfphLGJZdJQ/YrFRZRcYYCV76/U 2XMqdepuY8faRZk7tLqNfiRrFs2/Rr0GCpXC617S2yj/xGSn1YTQIwWC0q/njjAAU0zWZI1jpFie vvYqGIDcRXA8a//vFz4UoqEVh+/at0pRLXPTDG9x+K6QltdZF8vhC9MC61XJ0L4BZ5kY+z4VohnJ Qfqhe2a1RrfI9/ZIm416FoX4oRtDJLibfx8HkvVVe49SxhFQwG2E3bLvRWQICHa/doKPLtKLBTnL XnQR7RssG2R0FKXhxVhpQxXJQtlBuXtB+DdwM/q1I6ejhIzw9b7LmFA03V4BE6IbQ8MfzBmXZp9w P1Hc2lFTqdnVY7tcQvZXK8zrTPRMrsmtM+aFHXRshw4Q5k61D64Ff9/DkGRn/VV8K3Lkc5JtxrbF jQk7P0DoeTJfZb4zCTroT6wUQopTAPiRYpqa5CRulsI3Ft1w5uF2Rr7L9aAOa5cfUzRKB2ByX2Iw x/DcN3n4itSD6jzOs4V5KKPs0Iv5xDb3KHyuo7TEgBxtwkNOHyksyyFKPNiR/u5YwLjRrOOGkQiU TZPX++sS8zA8+McV6yZVCldvcrPo9YfBJrD1YIm/q3IXTnaKg9+DI18uSzz9UTR/oEYrUBeJx9X4 3QW0hXcm78M3hq/7TFaJDqnesWgQt4E+Jv01M8dJDYOMibdmZrphKk/xOviV0jM0FCfKyBBAEODL f0hbRdozjDqlBJ+16EP4BRhg6+6kuIAsZl9frJmIkQwWU2qidf8hrUCbQtjPWSkTZxBZZyblxXaN HeZm2w4nYIVDRrYCBmvMi9kLrxoj8OZU2L8vsOphVIXn7BS2AvN6FembFaXqFmKmilBizJ3ypzcH Ir1wGmZVXuKa1OOJj77gt7KAinLXUSGOzj3g16DOyJxt78sgv8M9YRbzt9ZWeUwGgFkTo1fXVqyJ 5aF82tts7MCpJYyRkdavnafIx+yDVqLcggwhWapRoUgz36VkrJQP/APBFzhRGV/yC1zf3ePH+dqD w2RpUjPMqdrA2gMPHhKqOHXPhcRZ764qPtXbeJTKUJdtqFmOyzqgQ1e2+se5OyCcYbbGHM/8ofTr n9JWRGI7Ctd50+RA9WM5B4EUhPGQi6FI6Rr4W4+ZPFPn3mDJw7R5/oFfwHkYQlamwjamQ2gqaO4H f+b5+7HoBHsWDD4X7JoWD19nduPjwGY/bv3/GE+mBGYaj/intcvexye9e2Se+EHkdi7qgklg/Dmn 8syqfU0rqFXyViH96xsVRMn/GZlAUKQ8DdjXM2xmyBOgqRfZwlnio83F7So0wz0vqTNOLluyRlmv fMHWTWXoMbTCAgkc6J5l80HAUC4flezoEop3/jdY889l8jjFvhJagcqaNbpcb/T/aoEUYn9U/FA9 u9ya9u6evbuQAQEgdga/tprpnwNrzVt7gtik1FAyoKuMv2WfzElR7hAUgNEO2R4NoVYqRHXgCI6t YDZ5vK7gAUEEUhomKBXX1VDGTxAeGpyQqNiN41jPjGbHAfotkCBwjL82tNXG0hFx07tAwUlXNdhy odQDn1fdn5YGZNwad4XwlneBexpGlKg3aLd6iipjkNJf8W+Cfos/uJco4eEHgGcEYwJi6lwGCE/X mZWg/mTs+taKeUHdlCSn/p+N+bZi6pkar830rQ2auNdoANfZAkbu9WGVefOXZFQ9Mud8F55vuAWd 0eFdXwVLgsXfcs/zTYf/JeSSsSSRkbeP6OW9UeR2LhMZ3XqgiBFImfSALnq8nRoB7XVIXDw8fpkw 5pDQenHXHA5Wh/VCGfrFX5KEhqTrympHx5SYki/HERwVDVbUjNDR/ovwD5wCCQsH/8Hh9mqzASIS YK2rV6PrHxT/OFf/uf3ZfZ2BwnjYvtoknyx50behhVrTOpwfw7N4dv2PDuGuJ3JIFr0sAPI5ROUP GyOMPAL9q/I5feHJtQXIuQRW8k0DbD3dah1gPjS5XPUF6nOdmwU1HMT0jHl3v2ELhyNzG+qrvKFg 7veml7HuxyxYMJPxvxrcmVpria3XpT0qt+PFOyCxBt250rZycUkftbx4TTgdG8qL+LgE3zTcsY+R dnxKEPBfm3RorWOPiaE5PHEkMr5Znr9fpuipSkT6bFalTs3sN2ZEm/InRyoL+92BGrb0bjrUxpkS fvZU1Voykk/VBAxEx1cHt+MNdjzP+MpU7hRRrv8u5kxJ1/iIwgJ3AiYoPCxgunBSibRP3NL3hA4G U9aKeH2h/E0QyOzJ9N/y4Ucbs03yRVQwCnYoX6VwhWAA0FWVA7/habm43IfivasjyZ6Ht9x3TRfh qYZ7L1pPRYmRjO8mJeLD7E8+loPsrfjN+1utGYkGrlHJyBWWUC+Dr7Yj7VMaxaX4Fpo8pJWApK4A qQAdMdEvR/qx5KVyiu4+n2eJ3XkdSZrLGAwE3gEH5jCxr5nqTu0psfmYdLRaH6dkQrBdqvavhobS Chis9d7Ta1+q5ZAcPvjfZSr2i23iNhGNnqq6fPISfotXnrV6cUnUR8HbSxrRupAhGBcWBuGIDBZr t03ROahVv81pY+ITIFXFgr0C6mU6SS+qj41vf1TOy8pe+y51zHEo5iwJru6BK5kPyXIsp8l8w40C 2zL7rcEC5IvBL0BXWpYMkt3SjiLU3BleYafCYDw2O7xdn1RxdKsUGQtNnzx6jHVpQ3bUti7CRxO2 rwFloESHHLSj+iILysbwnhQJGKJ4A7MN0gpi8Hkey/yaAtc+l19TQ3V3E6hlxvOp+YXqGcNlyevv LPC5rTII90b7mPcsVJkpF2eNm3Jr0BU/6A07K/lQ6QnmrJsfMb8W6PjGk3Mfj3ZVLfPMUeltFwVQ P8dRXykUYLxrnAJrxTOYhy53gXqfyhOFymPETjTJ71Gc1a8zm7ChrdLtKEYXW1gF2auwXi6teXsX 9SdaupRE79dhJyo4T4JNV9UlpWU5A2DH2G1cqQKKrvRel8/n9EhfC4yAjNIvDLtT7zdQY/dDyuMs uLeCaiXgxYRFLGfCRPeOH4TOQhxR9ehttgcoNnJLUzeUASG8mSfepwZs/+d8aDetIL6dBtnKeM3g nJM7Xgdby/a8JRrYuvZwnRq85r3ZWS0YceuXvBq+3fpHLjMoiW2kVx2FBqscxPykazZHW/UEjsVe 1qHGdW4aIV844H5Qf2zdcTsUu84U0aOoZB7IjK9t9r/oCpf9ZdsTMZgdtvukOOOmYHOaird408OI grtp/EEZwrJm58zVQSSbv15hdcxECqU8f5Gp2vo46EpDLjVpor6RJsUKglzvQs/jG6BO/iUJB3St rDpL5ICn8hj5KD1Rqb7ir1ZsWE8JQlaDK5HQ/7GQ3tiKlIWEJ3PJMRi5X335HgFdZGXJoam9Clns p7pDwUtnX/H6wIH2FglTZAjH25Mqqozt46TgdfpGRFZmeKlLoKQuuRjkZzLiRpanSbmhNA9vZRhY dyrK6YC9F9Re7lPHM1icoUghWi+/ElRD1dDPvMSNsqOJRLupsyDgD50DKtxHLK9D7EHasXNr0ty9 xZ7ZWiO/bfGYtZJWbbvJ58M4S11kX+7rFKilBa10YGof+86Hum+6Kq45UnzuGWD2q7harSzHrA2d MUb9k2uiC/rAkc9yefE+tyWZ3I7W/6/DP5XG9LuzSAiqtHnYDDn5L3/F4ztskcB0tuMqb33sqR1V soFqyUS8P9a6/Lke0wM2ALkmsnKTSmf/Cq+WcP1HhIrUxNG9vDQ/pExH9179xSpxT8+uSMdPVBl0 fWS/fD0Yg9ktRjK/5fdZ18pjbSMkOznhAQodzgfuTQy6Hf8Mm1f33GVAv6rnRvNQfDrA3Zrcnk0V Apf2WBDgtFNMnqkgM+3XMXJ4uwRB7/z0iG6ionFpOnNj62duMxFcAbw2AIHnJ9s7O0BZgPcz6X6j 6t4rM0uCLNTizjj6nkHjwr1ri6OJ6jnflrbMs2gRAiyxMZ7zId5wJZ6uHpm5daRj9DrG0pcU3+KM k9mO2O9NsS9NPGgHoGxCSV/u5THZaUf/250PUaPGfAlE8RBV0vJn2ilI3Bfd+wqHPXgFrFAiWzez 2zFytX2SqZ7UnXBpzrRZ6mh4g97iKpJOSmcVySkpqt3PQUY0lkvsofXflJ/Se/F2iBn4x3QcG8lr TbYQGYBAQskQWvaOlLnQZ3n36ovObt+LLwIRoXwKrDbgl2ZW7T9YFNbs9OT/iMXCHSUTm7XNt+TK EJPMxIMNVl6bZcU9tZneHjQ58wwDc6YYK3ASUuQAAmzWCfruNdqeRlr0q+lHPlum9nvwZBgCj6HN X5oDhOlg4wUbTmhXbyskV0OJcweTGHemvLrKo65WKLo4ewDJwm6wOvWImmfdCw+s4cMG/fefc1mk e3GxllSK/SHS0t1wmoHd4WN+gkdEk1nZj7KGLYPl2qAIW5zk/mpwzzpaiXr8Ubj+UbaqZDBvxy8E RORurNF7g2l3VyXyhqfTMlgvMfvcGMThnt12ZPJSVGzehovrDQ+SYWTWhAIPYUUr2rMBpWrJptlr Gb9xv+GPWaky6gR5A23TA0g8QB9+DUPtVs4V8mhdEY+6QT7545ypkLKSTtPgQBtB2HfHvTqyDuq9 d/toVy3/CMEM37rGtWeisC5LLX82FsIykSRJU4djjVazIwnmx6BOr1sQ71OYbxyvwu1X1WT9SAoW n2YCMzzsOrrZDu4WmYwwkKiWjzwSJtRSoIl1gMCXlBD6T3EEFsUEFlMVvitfrcZwWpDSR8u+LunI mRy/waKrzJX+PuTSYZPjv1lrPedapc0H1uZqkeqWN5mHBZ52kyYcebGM6FLwWuDdzCu5BMn0j17t cExtyLyeU/OknBJk28VOuHU/42F9i28h62JG7KdGf0ty60BXm85xMqi6tYxV6MoJduaswt38nLD2 MOjFyZm/t0LRhq2Cd29v9fL9YbVXpOF0augIiGF6oDsQB2getzfaYrEfksD417cAOghQRlEJOUGs Mj5c6qSm5rV0RfVYq8U9hLiydGv5ymJFaP4X6yCFCz0A4V0fzOUAN6p66C/OdHKUd2vNFBPY8agU +4CL+Fnj0ZxNbv1YznTUQy1hR6trB0H/AdsxF5TDRFCZWnF+M4hSopfm7znnjwsC8VvnoXnm6iAd YbmtSW4yzDpJufwBppIkJVYnF9DwHh7Q3abMizWqS4myza5ZJ6j5n+3f/AkMyNoDaRYd6ENzCpaR rci5/IP7+VH/wpbhEgSPl3/dXQbvZJ4GH+4Mh2xsY+4BZhTSWnVpnHTEsGr9PL0vGZet79ft7bDr 46YihRYiAgE1bpCRFK4RewxPDQi50olieujbDq2lPShJEQF7mp/w8K837ErnP8kETnEO6Pkc9LKb x28FJw/0YgVhbsOnZnjNFAFIYNNkDfo4iAz0RDGPnQSmoEAjd17yFQtKfoeeBrPMuk9y6FKpscHb rsskJGTKm1MMnlSacHnlmZFBhbXfE+0KgNG7og+wj8mHHSDNIFjmFXFgSmXID9guawk+woWXK0vA zoeiMGN7C6xuatZqttlYSvOBJUnO3fcHh3TJa1LmBjcVFN5ebqxurAqonelitRr8lcDLYIjOaxLs sWSX1e2IvgZFzNgCXa49Ksqz/8ZxSgvPasngWpMp+pWQaWKtrQuTPLJOjwv74f8MnTRnZLXt7EqV dhwLDsCanlMQdZF+Hs78+WKsmLRYDQtxk/zCNLjKqYZImwlp3qS598dHczu0lp415+rsQv/lx0m/ jNuCfHWlpJz8gidr0mtN50TfgDdcsgdJC53SG3IoVv+3y2L/wYEZnKk7Uf/I/mPKSz7psX+DaLZA mmeKRhr9MF6jhHjH0NcrxP2zOOrlpp5BA8+UH0bigpx+ciymIgag6fFuFBdW58htiYqPUDjpEl1y s5ZikYHbHs9NckJK8hlnpB+Z0EH+JkuSLtnWDw9FDjSCDM4c5yodmpZbaCKgfcrtzwfg/BR4xL7j k/n9kMNubFYvjy9JTu2QiCIfHfyH+7rM8brS5WEIgYyw5Bx1DAAVGIhmOmmgRPJE2Rs3VPF/h6/s FRqpJw4NypC/27xvsi5xszKY2U0Y5xTm3Bf+NJrgQCPjpblVapiRC3kFgYMNzwsZryfdoOyPIaJw ZVedCQEC2vHkEUXla8it8ikMA3JZsqZMdj1Kvsd5NxUwf+EwabsDo4rJPG/EadRO0jKgVF4rtaUZ x26P/bm1/MT1M4uTsywhsupg2n1B4eK4Qcl5xQlgvj/mlRYlcl6s82axpJilyi/VnKEaLVZjgY7k AYN7s7yaezn79fexFeMp1eXoccWZb3N4QwWd5w7tZlDh16XziUdW6YXdWAnoCIkZ4XvzLO+cI1Vu p4XrrxdmNJNgn5p8aHyZQOd37sj65vnkHSEPzMl3SJ8Cr2HEABty4w5SuGG1RRCpOLO+ThenkW6N cEtFnwVW8DK+rGXQ2DhTrWnU8zuLdYT9GyJSBncDcIbZUswZQK9SRB2o9l63vKbxclcZ2UYuN8tW Qhga/APq+3lwC9q8jLj9mu0wt6WDABUMEw== `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity axi_chip2chip_64B66B is port ( m_aclk : in STD_LOGIC; m_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; axi_c2c_s2m_intr_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_c2c_m2s_intr_out : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_c2c_phy_clk : in STD_LOGIC; axi_c2c_aurora_channel_up : in STD_LOGIC; axi_c2c_aurora_tx_tready : in STD_LOGIC; axi_c2c_aurora_tx_tdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); axi_c2c_aurora_tx_tvalid : out STD_LOGIC; axi_c2c_aurora_rx_tdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); axi_c2c_aurora_rx_tvalid : in STD_LOGIC; aurora_do_cc : out STD_LOGIC; aurora_pma_init_in : in STD_LOGIC; aurora_init_clk : in STD_LOGIC; aurora_pma_init_out : out STD_LOGIC; aurora_mmcm_not_locked : in STD_LOGIC; aurora_reset_pb : out STD_LOGIC; axi_c2c_config_error_out : out STD_LOGIC; axi_c2c_link_status_out : out STD_LOGIC; axi_c2c_multi_bit_error_out : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of axi_chip2chip_64B66B : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of axi_chip2chip_64B66B : entity is "axi_chip2chip_64B66B,axi_chip2chip_v5_0_9,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of axi_chip2chip_64B66B : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of axi_chip2chip_64B66B : entity is "axi_chip2chip_v5_0_9,Vivado 2020.2"; end axi_chip2chip_64B66B; architecture STRUCTURE of axi_chip2chip_64B66B is signal \\ : STD_LOGIC; signal \^axi_c2c_aurora_tx_tdata\ : STD_LOGIC_VECTOR ( 63 downto 0 ); signal \^m_axi_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_axi_c2c_config_error_out_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_link_error_out_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_lnk_hndlr_in_progress_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_selio_tx_clk_out_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_selio_tx_diff_clk_out_n_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_selio_tx_diff_clk_out_p_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_aclk_out_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_lite_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_lite_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_lite_bready_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_lite_rready_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_lite_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_lite_arready_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_lite_awready_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_lite_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_lite_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_lite_wready_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_inst_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_inst_axi_c2c_aurora_tx_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 62 to 62 ); signal NLW_inst_axi_c2c_s2m_intr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_axi_c2c_selio_tx_data_out_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_inst_axi_c2c_selio_tx_diff_data_out_n_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_inst_axi_c2c_selio_tx_diff_data_out_p_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 to 2 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 to 2 ); signal NLW_inst_m_axi_lite_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_m_axi_lite_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_lite_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_m_axi_lite_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_lite_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_m_axi_lite_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_s_axi_lite_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_s_axi_lite_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_s_axi_lite_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute ADDR_MUX_RATIO : integer; attribute ADDR_MUX_RATIO of inst : label is 1; attribute ADDR_MUX_RATIO_ID_WID_0_TO_12 : integer; attribute ADDR_MUX_RATIO_ID_WID_0_TO_12 of inst : label is 1; attribute AFIFO_DATA_SIZE : integer; attribute AFIFO_DATA_SIZE of inst : label is 50; attribute AFIFO_DATA_SIZE_M2 : integer; attribute AFIFO_DATA_SIZE_M2 of inst : label is 0; attribute AFIFO_DATA_SIZE_M3 : integer; attribute AFIFO_DATA_SIZE_M3 of inst : label is 2; attribute AFIFO_DATA_SIZE_M4 : integer; attribute AFIFO_DATA_SIZE_M4 of inst : label is 2; attribute AFIFO_TIE_WIDTH : integer; attribute AFIFO_TIE_WIDTH of inst : label is 1; attribute AFIFO_WIDTH : integer; attribute AFIFO_WIDTH of inst : label is 50; attribute AR_CH_FC : integer; attribute AR_CH_FC of inst : label is 128; attribute AR_CH_FIFO_DEPTH : integer; attribute AR_CH_FIFO_DEPTH of inst : label is 256; attribute AR_CH_PTR_WIDTH : integer; attribute AR_CH_PTR_WIDTH of inst : label is 8; attribute AWB_FC_WIDTH : integer; attribute AWB_FC_WIDTH of inst : label is 2; attribute AW_CH_FC : integer; attribute AW_CH_FC of inst : label is 128; attribute AW_CH_FIFO_DEPTH : integer; attribute AW_CH_FIFO_DEPTH of inst : label is 256; attribute AW_CH_PTR_WIDTH : integer; attribute AW_CH_PTR_WIDTH of inst : label is 8; attribute AXILITE_WIDTH : integer; attribute AXILITE_WIDTH of inst : label is 20; attribute BFIFO_DATA_SIZE : integer; attribute BFIFO_DATA_SIZE of inst : label is 8; attribute BFIFO_WIDTH : integer; attribute BFIFO_WIDTH of inst : label is 8; attribute BR_CH_FC : integer; attribute BR_CH_FC of inst : label is 128; attribute BR_CH_FIFO_DEPTH : integer; attribute BR_CH_FIFO_DEPTH of inst : label is 256; attribute BR_CH_PTR_WIDTH : integer; attribute BR_CH_PTR_WIDTH of inst : label is 8; attribute C_AURORA_WIDTH : integer; attribute C_AURORA_WIDTH of inst : label is 64; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_BRST_WIDTH : integer; attribute C_AXI_BRST_WIDTH of inst : label is 2; attribute C_AXI_BUS_TYPE : integer; attribute C_AXI_BUS_TYPE of inst : label is 0; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 6; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of inst : label is 8; attribute C_AXI_LITE_ADDR_WIDTH : integer; attribute C_AXI_LITE_ADDR_WIDTH of inst : label is 32; attribute C_AXI_LITE_DATA_WIDTH : integer; attribute C_AXI_LITE_DATA_WIDTH of inst : label is 32; attribute C_AXI_LITE_PROT_WIDTH : integer; attribute C_AXI_LITE_PROT_WIDTH of inst : label is 2; attribute C_AXI_LITE_RESP_WIDTH : integer; attribute C_AXI_LITE_RESP_WIDTH of inst : label is 2; attribute C_AXI_LITE_STB_WIDTH : integer; attribute C_AXI_LITE_STB_WIDTH of inst : label is 4; attribute C_AXI_RESP_WIDTH : integer; attribute C_AXI_RESP_WIDTH of inst : label is 2; attribute C_AXI_SIZE_WIDTH : integer; attribute C_AXI_SIZE_WIDTH of inst : label is 3; attribute C_AXI_SIZE_WIDTH_INTERNAL : integer; attribute C_AXI_SIZE_WIDTH_INTERNAL of inst : label is 2; attribute C_AXI_STB_WIDTH : integer; attribute C_AXI_STB_WIDTH of inst : label is 4; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 4; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of inst : label is 0; attribute C_DISABLE_CLK_SHIFT : integer; attribute C_DISABLE_CLK_SHIFT of inst : label is 0; attribute C_DISABLE_DESKEW : integer; attribute C_DISABLE_DESKEW of inst : label is 0; attribute C_ECC_ENABLE : integer; attribute C_ECC_ENABLE of inst : label is 1; attribute C_EN_AXI_LINK_HNDLR : integer; attribute C_EN_AXI_LINK_HNDLR of inst : label is 0; attribute C_EN_LEGACY_MODE : integer; attribute C_EN_LEGACY_MODE of inst : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "kintexu"; attribute C_FIFO_DEPTH_LH : integer; attribute C_FIFO_DEPTH_LH of inst : label is 256; attribute C_INCLUDE_AXILITE : integer; attribute C_INCLUDE_AXILITE of inst : label is 0; attribute C_INSTANCE : string; attribute C_INSTANCE of inst : label is "axi_c2c"; attribute C_INTERFACE_MODE : integer; attribute C_INTERFACE_MODE of inst : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of inst : label is 2; attribute C_INTERRUPT_WIDTH : integer; attribute C_INTERRUPT_WIDTH of inst : label is 4; attribute C_MASTER_FPGA : integer; attribute C_MASTER_FPGA of inst : label is 0; attribute C_NUM_OF_IO : integer; attribute C_NUM_OF_IO of inst : label is 20; attribute C_PHY_SELECT : integer; attribute C_PHY_SELECT of inst : label is 1; attribute C_RD_CNTR_WIDTH : integer; attribute C_RD_CNTR_WIDTH of inst : label is 8; attribute C_SELECTIO_DDR : integer; attribute C_SELECTIO_DDR of inst : label is 0; attribute C_SELECTIO_PHY_CLK : integer; attribute C_SELECTIO_PHY_CLK of inst : label is 100; attribute C_SELECTIO_WIDTH : integer; attribute C_SELECTIO_WIDTH of inst : label is 9; attribute C_SIMULATION : integer; attribute C_SIMULATION of inst : label is 0; attribute C_SYNC_STAGE : integer; attribute C_SYNC_STAGE of inst : label is 3; attribute C_USE_DIFF_CLK : integer; attribute C_USE_DIFF_CLK of inst : label is 0; attribute C_USE_DIFF_IO : integer; attribute C_USE_DIFF_IO of inst : label is 0; attribute C_WIDTH_CONVERSION : integer; attribute C_WIDTH_CONVERSION of inst : label is 1; attribute C_WR_CNTR_WIDTH : integer; attribute C_WR_CNTR_WIDTH of inst : label is 8; attribute DATA_MUX_RATIO : integer; attribute DATA_MUX_RATIO of inst : label is 1; attribute DATA_MUX_RATIO_ID_WID_0_TO_12 : integer; attribute DATA_MUX_RATIO_ID_WID_0_TO_12 of inst : label is 1; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute EN_ECC : integer; attribute EN_ECC of inst : label is 1; attribute PHY_CTRL_WIDTH : integer; attribute PHY_CTRL_WIDTH of inst : label is 3; attribute PHY_DATA_WIDTH : integer; attribute PHY_DATA_WIDTH of inst : label is 64; attribute RB_FC_WIDTH : integer; attribute RB_FC_WIDTH of inst : label is 3; attribute RFIFO_DATA_SIZE : integer; attribute RFIFO_DATA_SIZE of inst : label is 41; attribute RFIFO_DATA_SIZE_M2 : integer; attribute RFIFO_DATA_SIZE_M2 of inst : label is 1; attribute RFIFO_DATA_SIZE_M3 : integer; attribute RFIFO_DATA_SIZE_M3 of inst : label is 2; attribute RFIFO_DATA_SIZE_M4 : integer; attribute RFIFO_DATA_SIZE_M4 of inst : label is 1; attribute RFIFO_TIE_WIDTH : integer; attribute RFIFO_TIE_WIDTH of inst : label is 1; attribute RFIFO_WIDTH : integer; attribute RFIFO_WIDTH of inst : label is 41; attribute TDM_ID_WIDTH : integer; attribute TDM_ID_WIDTH of inst : label is 2; attribute TDM_VAL_BITS : integer; attribute TDM_VAL_BITS of inst : label is 1; attribute WFIFO_DATA_SIZE : integer; attribute WFIFO_DATA_SIZE of inst : label is 41; attribute WFIFO_DATA_SIZE_M2 : integer; attribute WFIFO_DATA_SIZE_M2 of inst : label is 1; attribute WFIFO_DATA_SIZE_M3 : integer; attribute WFIFO_DATA_SIZE_M3 of inst : label is 2; attribute WFIFO_DATA_SIZE_M4 : integer; attribute WFIFO_DATA_SIZE_M4 of inst : label is 1; attribute WFIFO_TIE_WIDTH : integer; attribute WFIFO_TIE_WIDTH of inst : label is 1; attribute WFIFO_WIDTH : integer; attribute WFIFO_WIDTH of inst : label is 41; attribute is_du_within_envelope : string; attribute is_du_within_envelope of inst : label is "true"; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of aurora_init_clk : signal is "xilinx.com:signal:clock:1.0 INIT_CLK CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of aurora_init_clk : signal is "XIL_INTERFACENAME INIT_CLK, ASSOCIATED_RESET aurora_pma_init_out, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.000, INSERT_VIP 0"; attribute X_INTERFACE_INFO of aurora_mmcm_not_locked : signal is "xilinx.com:signal:reset:1.0 AURORA_MMCM_NOT_LOCKED RST"; attribute X_INTERFACE_PARAMETER of aurora_mmcm_not_locked : signal is "XIL_INTERFACENAME AURORA_MMCM_NOT_LOCKED, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; attribute X_INTERFACE_INFO of aurora_pma_init_in : signal is "xilinx.com:signal:reset:1.0 AURORA_PMA_INIT_IN RST"; attribute X_INTERFACE_PARAMETER of aurora_pma_init_in : signal is "XIL_INTERFACENAME AURORA_PMA_INIT_IN, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; attribute X_INTERFACE_INFO of aurora_pma_init_out : signal is "xilinx.com:signal:reset:1.0 AURORA_PMA_INIT_OUT RST"; attribute X_INTERFACE_PARAMETER of aurora_pma_init_out : signal is "XIL_INTERFACENAME AURORA_PMA_INIT_OUT, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; attribute X_INTERFACE_INFO of aurora_reset_pb : signal is "xilinx.com:signal:reset:1.0 AURORA_RST_OUT RST"; attribute X_INTERFACE_PARAMETER of aurora_reset_pb : signal is "XIL_INTERFACENAME AURORA_RST_OUT, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; attribute X_INTERFACE_INFO of axi_c2c_aurora_rx_tvalid : signal is "xilinx.com:interface:axis:1.0 AXIS_RX TVALID"; attribute X_INTERFACE_PARAMETER of axi_c2c_aurora_rx_tvalid : signal is "XIL_INTERFACENAME AXIS_RX, TDATA_NUM_BYTES 8, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef, INSERT_VIP 0"; attribute X_INTERFACE_INFO of axi_c2c_aurora_tx_tready : signal is "xilinx.com:interface:axis:1.0 AXIS_TX TREADY"; attribute X_INTERFACE_INFO of axi_c2c_aurora_tx_tvalid : signal is "xilinx.com:interface:axis:1.0 AXIS_TX TVALID"; attribute X_INTERFACE_PARAMETER of axi_c2c_aurora_tx_tvalid : signal is "XIL_INTERFACENAME AXIS_TX, TDATA_NUM_BYTES 8, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 1, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef, INSERT_VIP 0"; attribute X_INTERFACE_INFO of axi_c2c_phy_clk : signal is "xilinx.com:signal:clock:1.0 axi_c2c_phy_clk CLK"; attribute X_INTERFACE_PARAMETER of axi_c2c_phy_clk : signal is "XIL_INTERFACENAME axi_c2c_phy_clk, ASSOCIATED_BUSIF AXIS_TX:AXIS_RX, ASSOCIATED_RESET aurora_reset_pb, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.000, INSERT_VIP 0"; attribute X_INTERFACE_INFO of m_aclk : signal is "xilinx.com:signal:clock:1.0 m_aclk CLK"; attribute X_INTERFACE_PARAMETER of m_aclk : signal is "XIL_INTERFACENAME m_aclk, ASSOCIATED_BUSIF m_axi, ASSOCIATED_RESET m_aresetn, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.000, INSERT_VIP 0"; attribute X_INTERFACE_INFO of m_aresetn : signal is "xilinx.com:signal:reset:1.0 m_aresetn RST"; attribute X_INTERFACE_PARAMETER of m_aresetn : signal is "XIL_INTERFACENAME m_aresetn, POLARITY ACTIVE_LOW, INSERT_VIP 0"; attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 m_axi ARREADY"; attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 m_axi ARVALID"; attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 m_axi AWREADY"; attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 m_axi AWVALID"; attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 m_axi BREADY"; attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 m_axi BVALID"; attribute X_INTERFACE_INFO of m_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 m_axi RLAST"; attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 m_axi RREADY"; attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME m_axi, NUM_READ_OUTSTANDING 16, NUM_WRITE_OUTSTANDING 16, DATA_WIDTH 32, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 6, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 4, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 1, MAX_BURST_LENGTH 256, PHASE 0.000, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, INSERT_VIP 0"; attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 m_axi RVALID"; attribute X_INTERFACE_INFO of m_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 m_axi WLAST"; attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 m_axi WREADY"; attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 m_axi WVALID"; attribute X_INTERFACE_INFO of axi_c2c_aurora_rx_tdata : signal is "xilinx.com:interface:axis:1.0 AXIS_RX TDATA"; attribute X_INTERFACE_INFO of axi_c2c_aurora_tx_tdata : signal is "xilinx.com:interface:axis:1.0 AXIS_TX TDATA"; attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 m_axi ARADDR"; attribute X_INTERFACE_INFO of m_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 m_axi ARBURST"; attribute X_INTERFACE_INFO of m_axi_arid : signal is "xilinx.com:interface:aximm:1.0 m_axi ARID"; attribute X_INTERFACE_INFO of m_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 m_axi ARLEN"; attribute X_INTERFACE_INFO of m_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 m_axi ARSIZE"; attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 m_axi AWADDR"; attribute X_INTERFACE_INFO of m_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 m_axi AWBURST"; attribute X_INTERFACE_INFO of m_axi_awid : signal is "xilinx.com:interface:aximm:1.0 m_axi AWID"; attribute X_INTERFACE_INFO of m_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 m_axi AWLEN"; attribute X_INTERFACE_INFO of m_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 m_axi AWSIZE"; attribute X_INTERFACE_INFO of m_axi_bid : signal is "xilinx.com:interface:aximm:1.0 m_axi BID"; attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 m_axi BRESP"; attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 m_axi RDATA"; attribute X_INTERFACE_INFO of m_axi_rid : signal is "xilinx.com:interface:aximm:1.0 m_axi RID"; attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 m_axi RRESP"; attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 m_axi WDATA"; attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 m_axi WSTRB"; attribute X_INTERFACE_INFO of m_axi_wuser : signal is "xilinx.com:interface:aximm:1.0 m_axi WUSER"; begin axi_c2c_aurora_tx_tdata(63) <= \^axi_c2c_aurora_tx_tdata\(63); axi_c2c_aurora_tx_tdata(62) <= \\; axi_c2c_aurora_tx_tdata(61 downto 0) <= \^axi_c2c_aurora_tx_tdata\(61 downto 0); axi_c2c_config_error_out <= \\; m_axi_arsize(2) <= \\; m_axi_arsize(1 downto 0) <= \^m_axi_arsize\(1 downto 0); m_axi_awsize(2) <= \\; m_axi_awsize(1 downto 0) <= \^m_axi_awsize\(1 downto 0); GND: unisim.vcomponents.GND port map ( G => \\ ); inst: entity work.axi_chip2chip_64B66B_axi_chip2chip_v5_0_9 port map ( aurora_do_cc => aurora_do_cc, aurora_init_clk => aurora_init_clk, aurora_mmcm_not_locked => aurora_mmcm_not_locked, aurora_pma_init_in => aurora_pma_init_in, aurora_pma_init_out => aurora_pma_init_out, aurora_reset_pb => aurora_reset_pb, axi_c2c_aurora_channel_up => axi_c2c_aurora_channel_up, axi_c2c_aurora_rx_tdata(63 downto 0) => axi_c2c_aurora_rx_tdata(63 downto 0), axi_c2c_aurora_rx_tvalid => axi_c2c_aurora_rx_tvalid, axi_c2c_aurora_tx_tdata(63) => \^axi_c2c_aurora_tx_tdata\(63), axi_c2c_aurora_tx_tdata(62) => NLW_inst_axi_c2c_aurora_tx_tdata_UNCONNECTED(62), axi_c2c_aurora_tx_tdata(61 downto 0) => \^axi_c2c_aurora_tx_tdata\(61 downto 0), axi_c2c_aurora_tx_tready => axi_c2c_aurora_tx_tready, axi_c2c_aurora_tx_tvalid => axi_c2c_aurora_tx_tvalid, axi_c2c_config_error_out => NLW_inst_axi_c2c_config_error_out_UNCONNECTED, axi_c2c_link_error_out => NLW_inst_axi_c2c_link_error_out_UNCONNECTED, axi_c2c_link_status_out => axi_c2c_link_status_out, axi_c2c_lnk_hndlr_in_progress => NLW_inst_axi_c2c_lnk_hndlr_in_progress_UNCONNECTED, axi_c2c_m2s_intr_in(3 downto 0) => B"0000", axi_c2c_m2s_intr_out(3 downto 0) => axi_c2c_m2s_intr_out(3 downto 0), axi_c2c_multi_bit_error_out => axi_c2c_multi_bit_error_out, axi_c2c_phy_clk => axi_c2c_phy_clk, axi_c2c_s2m_intr_in(3 downto 0) => axi_c2c_s2m_intr_in(3 downto 0), axi_c2c_s2m_intr_out(3 downto 0) => NLW_inst_axi_c2c_s2m_intr_out_UNCONNECTED(3 downto 0), axi_c2c_selio_rx_clk_in => '0', axi_c2c_selio_rx_data_in(8 downto 0) => B"000000000", axi_c2c_selio_rx_diff_clk_in_n => '0', axi_c2c_selio_rx_diff_clk_in_p => '0', axi_c2c_selio_rx_diff_data_in_n(8 downto 0) => B"000000000", axi_c2c_selio_rx_diff_data_in_p(8 downto 0) => B"000000000", axi_c2c_selio_tx_clk_out => NLW_inst_axi_c2c_selio_tx_clk_out_UNCONNECTED, axi_c2c_selio_tx_data_out(8 downto 0) => NLW_inst_axi_c2c_selio_tx_data_out_UNCONNECTED(8 downto 0), axi_c2c_selio_tx_diff_clk_out_n => NLW_inst_axi_c2c_selio_tx_diff_clk_out_n_UNCONNECTED, axi_c2c_selio_tx_diff_clk_out_p => NLW_inst_axi_c2c_selio_tx_diff_clk_out_p_UNCONNECTED, axi_c2c_selio_tx_diff_data_out_n(8 downto 0) => NLW_inst_axi_c2c_selio_tx_diff_data_out_n_UNCONNECTED(8 downto 0), axi_c2c_selio_tx_diff_data_out_p(8 downto 0) => NLW_inst_axi_c2c_selio_tx_diff_data_out_p_UNCONNECTED(8 downto 0), idelay_ref_clk => '0', m_aclk => m_aclk, m_aclk_out => NLW_inst_m_aclk_out_UNCONNECTED, m_aresetn => m_aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arid(5 downto 0) => m_axi_arid(5 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arready => m_axi_arready, m_axi_arsize(2) => NLW_inst_m_axi_arsize_UNCONNECTED(2), m_axi_arsize(1 downto 0) => \^m_axi_arsize\(1 downto 0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awid(5 downto 0) => m_axi_awid(5 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awready => m_axi_awready, m_axi_awsize(2) => NLW_inst_m_axi_awsize_UNCONNECTED(2), m_axi_awsize(1 downto 0) => \^m_axi_awsize\(1 downto 0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(5 downto 0) => m_axi_bid(5 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_lite_aclk => '0', m_axi_lite_araddr(31 downto 0) => NLW_inst_m_axi_lite_araddr_UNCONNECTED(31 downto 0), m_axi_lite_arprot(1 downto 0) => NLW_inst_m_axi_lite_arprot_UNCONNECTED(1 downto 0), m_axi_lite_arready => '0', m_axi_lite_arvalid => NLW_inst_m_axi_lite_arvalid_UNCONNECTED, m_axi_lite_awaddr(31 downto 0) => NLW_inst_m_axi_lite_awaddr_UNCONNECTED(31 downto 0), m_axi_lite_awprot(1 downto 0) => NLW_inst_m_axi_lite_awprot_UNCONNECTED(1 downto 0), m_axi_lite_awready => '0', m_axi_lite_awvalid => NLW_inst_m_axi_lite_awvalid_UNCONNECTED, m_axi_lite_bready => NLW_inst_m_axi_lite_bready_UNCONNECTED, m_axi_lite_bresp(1 downto 0) => B"00", m_axi_lite_bvalid => '0', m_axi_lite_rdata(31 downto 0) => B"00000000000000000000000000000000", m_axi_lite_rready => NLW_inst_m_axi_lite_rready_UNCONNECTED, m_axi_lite_rresp(1 downto 0) => B"00", m_axi_lite_rvalid => '0', m_axi_lite_wdata(31 downto 0) => NLW_inst_m_axi_lite_wdata_UNCONNECTED(31 downto 0), m_axi_lite_wready => '0', m_axi_lite_wstrb(3 downto 0) => NLW_inst_m_axi_lite_wstrb_UNCONNECTED(3 downto 0), m_axi_lite_wvalid => NLW_inst_m_axi_lite_wvalid_UNCONNECTED, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(5 downto 0) => m_axi_rid(5 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(3 downto 0) => m_axi_wuser(3 downto 0), m_axi_wvalid => m_axi_wvalid, s_aclk => '0', s_aresetn => '1', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(5 downto 0) => B"000000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_inst_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(5 downto 0) => B"000000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_inst_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(5 downto 0) => NLW_inst_s_axi_bid_UNCONNECTED(5 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_inst_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_inst_s_axi_bvalid_UNCONNECTED, s_axi_lite_aclk => '0', s_axi_lite_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_lite_arprot(1 downto 0) => B"00", s_axi_lite_arready => NLW_inst_s_axi_lite_arready_UNCONNECTED, s_axi_lite_arvalid => '0', s_axi_lite_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_lite_awprot(1 downto 0) => B"00", s_axi_lite_awready => NLW_inst_s_axi_lite_awready_UNCONNECTED, s_axi_lite_awvalid => '0', s_axi_lite_bready => '0', s_axi_lite_bresp(1 downto 0) => NLW_inst_s_axi_lite_bresp_UNCONNECTED(1 downto 0), s_axi_lite_bvalid => NLW_inst_s_axi_lite_bvalid_UNCONNECTED, s_axi_lite_rdata(31 downto 0) => NLW_inst_s_axi_lite_rdata_UNCONNECTED(31 downto 0), s_axi_lite_rready => '0', s_axi_lite_rresp(1 downto 0) => NLW_inst_s_axi_lite_rresp_UNCONNECTED(1 downto 0), s_axi_lite_rvalid => NLW_inst_s_axi_lite_rvalid_UNCONNECTED, s_axi_lite_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_lite_wready => NLW_inst_s_axi_lite_wready_UNCONNECTED, s_axi_lite_wstrb(3 downto 0) => B"0000", s_axi_lite_wvalid => '0', s_axi_rdata(31 downto 0) => NLW_inst_s_axi_rdata_UNCONNECTED(31 downto 0), s_axi_rid(5 downto 0) => NLW_inst_s_axi_rid_UNCONNECTED(5 downto 0), s_axi_rlast => NLW_inst_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_inst_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_inst_s_axi_rvalid_UNCONNECTED, s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_wlast => '0', s_axi_wready => NLW_inst_s_axi_wready_UNCONNECTED, s_axi_wstrb(3 downto 0) => B"0000", s_axi_wuser(3 downto 0) => B"0000", s_axi_wvalid => '0' ); end STRUCTURE;