n %s %s 410* simulator2$ Vivado Simulator2default:default2 2020.12default:defaultZ43-3977hpx q %s * simulator2T @Copyright 1986-1999, 2001-2020 Xilinx, Inc. All Rights Reserved.2default:defaulthpx  Running: %s 333* simulator2 D:/Xilinx/Vivado/2020.1/bin/unwrapped/win64.o/xelab.exe -wto 547eb004b26648509e84d8b4a9e677c6 --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot ctrl_reg_tb_behav xil_defaultlib.ctrl_reg_tb xil_defaultlib.glbl -log elaborate.log 2default:defaultZ43-3449hpx T Using %s slave threads 377* simulator2 22default:defaultZ43-3493hpx B Starting static elaboration 342* simulatorZ43-3458hpx G !Pass Through NonSizing Optimizer 685* simulatorZ43-4537hpx C Completed static elaboration 280* simulatorZ43-3396hpx M 'Starting simulation data flow analysis 341* simulatorZ43-3457hpx N (Completed simulation data flow analysis 279* simulatorZ43-3395hpx d %Time Resolution for simulation is %s 344* simulator2 1ps2default:defaultZ43-3460hpx ] Compiling %s 389* simulator2( package std.standard2default:defaultZ43-3505hpx [ Compiling %s 389* simulator2& package std.textio2default:defaultZ43-3505hpx d Compiling %s 389* simulator2/ package ieee.std_logic_11642default:defaultZ43-3505hpx a Compiling %s 389* simulator2, package ieee.numeric_std2default:defaultZ43-3505hpx j Compiling %s 389* simulator25 !package xil_defaultlib.ngfec_pack2default:defaultZ43-3505hpx c Compiling %s 389* simulator2. package unisim.vcomponents2default:defaultZ43-3505hpx b Compiling %s 389* simulator2- package ieee.vital_timing2default:defaultZ43-3505hpx f Compiling %s 389* simulator21 package ieee.vital_primitives2default:defaultZ43-3505hpx \ Compiling %s 389* simulator2' package unisim.vpkg2default:defaultZ43-3505hpx c Compiling module %s405* simulator2( xil_defaultlib.glbl 2default:defaultZ43-3953hpx  Compiling %s 389* simulator2^ Jarchitecture behavioral of entity xil_defaultlib.DSP_MUX [dsp_mux_default]2default:defaultZ43-3505hpx  Compiling %s 389* simulator2i Uarchitecture dsp48e2_v of entity unisim.DSP48E2 [\DSP48E2(acascreg=0,adreg=0,alum...]2default:defaultZ43-3505hpx  Compiling %s 389* simulator2b Narchitecture behavioral of entity xil_defaultlib.DSP_MUX_b [dsp_mux_b_default]2default:defaultZ43-3505hpx  Compiling %s 389* simulator2s _architecture rtl of entity xil_defaultlib.ipb_user_control_regs [ipb_user_control_regs_default]2default:defaultZ43-3505hpx  Compiling %s 389* simulator2P