#----------------------------------------------------------- # Webtalk v2020.1 (64-bit) # SW Build 2902540 on Wed May 27 19:54:49 MDT 2020 # IP Build 2902112 on Wed May 27 22:43:36 MDT 2020 # Start of session at: Thu Feb 25 11:18:48 2021 # Process ID: 23548 # Current directory: D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.sim/sim_1/behav/xsim/xsim.dir/DSP_counterX4b_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.sim/sim_1/behav/xsim/webtalk.log # Journal file: D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- source D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.sim/sim_1/behav/xsim/xsim.dir/DSP_counterX4b_tb_behav/webtalk/xsim_webtalk.tcl -notrace