The XPM instance: <%s> is part of IP: <%s>. This XPM instance will be excluded from the .mmi because updatemem is prohibited from making changes to an XPM that is part of an IP. 136*memdata2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_w_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:default2/ i_axi_slave/i_axi_chip2chip2default:defaultZ28-208hpx  The XPM instance: <%s> is part of IP: <%s>. This XPM instance will be excluded from the .mmi because updatemem is prohibited from making changes to an XPM that is part of an IP. 136*memdata2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_r_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:default2/ i_axi_slave/i_axi_chip2chip2default:defaultZ28-208hpx  Found XPM memory block %s with a %s property set to %s. A value of %s is required. You will not be able to use the updatemem program to update the bitstream with new data for the %s block. 119*memdata2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_b_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:default2& P_MEMORY_PRIMITIVE2default:default2 distributed2default:default2 block2default:default2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_b_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:defaultZ28-167hpx  The XPM instance: <%s> is part of IP: <%s>. This XPM instance will be excluded from the .mmi because updatemem is prohibited from making changes to an XPM that is part of an IP. 136*memdata2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_aw_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:default2/ i_axi_slave/i_axi_chip2chip2default:defaultZ28-208hpx  The XPM instance: <%s> is part of IP: <%s>. This XPM instance will be excluded from the .mmi because updatemem is prohibited from making changes to an XPM that is part of an IP. 136*memdata2 i_axi_slave/i_axi_chip2chip/inst/slave_fpga_gen.axi_chip2chip_slave_inst/axi_chip2chip_ar_fifo_inst/axi_chip2chip_async_fifo_inst/xpm_fifo_async_inst/gnuram_async_fifo.xpm_fifo_base_inst/gen_sdpram.xpm_memory_base_inst2default:default2/ i_axi_slave/i_axi_chip2chip2default:defaultZ28-208hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2$ write_mem_info: 2default:default2 00:06:232default:default2 00:06:232default:default2 16582.8752default:default2 0.0002default:defaultZ17-268hp x  i Command: %s 53* vivadotcl28 $write_bitstream -force ngFEC_top.bit2default:defaultZ4-113hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2" Implementation2default:default2 xcku1152default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2" Implementation2default:default2 xcku1152default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2021.012default:defaultZ17-1540hpx x ,Running DRC as a precondition to command %s 1349* planAhead2# write_bitstream2default:defaultZ12-1349hpx > IP Catalog is up to date.1232*coregenZ19-1839hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx  Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: set_property CFGBVS value1 [current_design] #where value1 is either VCCO or GND set_property CONFIG_VOLTAGE value2 [current_design] #where value2 is the voltage provided to configuration bank 0 Refer to the device configuration user guide for more information.%s*DRC2( DRC|Pin Planning2default:default8ZCFGBVS-1hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[4].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[5].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[6].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/A[29:0]2ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/B[17:0]2ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/C[47:0]2ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A[29:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B[17:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C[47:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A[29:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B[17:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C[47:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A[29:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B[17:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C[47:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "| 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " :ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/A[29:0]4ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "| 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " :ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/B[17:0]4ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "| 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst 2ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " :ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/C[47:0]4ctrl_regs_inst/g_MUX_j[0].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/A[29:0]5ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/B[17:0]5ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/C[47:0]5ctrl_regs_inst/g_MUX_j[10].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/A[29:0]5ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/B[17:0]5ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/C[47:0]5ctrl_regs_inst/g_MUX_j[11].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/A[29:0]5ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/B[17:0]5ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/C[47:0]5ctrl_regs_inst/g_MUX_j[12].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/A[29:0]5ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/B[17:0]5ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/C[47:0]5ctrl_regs_inst/g_MUX_j[13].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/A[29:0]5ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/B[17:0]5ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 "~ 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst 3ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst2default:default2default:default2 " ;ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/C[47:0]5ctrl_regs_inst/g_MUX_j[14].i_DSP_MUX_b/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[1].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/B2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[2].i_DSP_MUX/DSP48E2_inst/C2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  fInput pipelining: DSP %s input %s is not pipelined. Pipelining DSP48 input will improve performance.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/A2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPIP-2hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 DRC DPIP-22default:default2 1002default:defaultZ17-14hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 "x 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst 0ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " 8ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/P[47:0]2ctrl_regs_inst/g_MUX_i[7].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[0].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[10].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[11].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[12].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[13].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[14].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ctrl_regs_inst/g_MUX_j[15].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[1].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[1].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[1].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[1].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[2].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[2].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[2].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[2].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[3].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[3].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[3].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[3].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[4].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[4].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[4].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[4].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[5].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[5].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[5].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[5].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[6].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[6].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[6].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[6].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[7].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[7].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[7].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[7].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[8].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[8].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[8].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[8].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;ctrl_regs_inst/g_MUX_j[9].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst ;ctrl_regs_inst/g_MUX_j[9].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Cctrl_regs_inst/g_MUX_j[9].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]=ctrl_regs_inst/g_MUX_j[9].g_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " 7stat_regs_inst/g_DSP_MUX_cntr[3].i_DSP_MUX/DSP48E2_inst 7stat_regs_inst/g_DSP_MUX_cntr[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " ?stat_regs_inst/g_DSP_MUX_cntr[3].i_DSP_MUX/DSP48E2_inst/P[47:0]9stat_regs_inst/g_DSP_MUX_cntr[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Hstat_regs_inst/g_DSP_MUX_cntr_j[0].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst Hstat_regs_inst/g_DSP_MUX_cntr_j[0].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Pstat_regs_inst/g_DSP_MUX_cntr_j[0].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]Jstat_regs_inst/g_DSP_MUX_cntr_j[0].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Hstat_regs_inst/g_DSP_MUX_cntr_j[1].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst Hstat_regs_inst/g_DSP_MUX_cntr_j[1].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Pstat_regs_inst/g_DSP_MUX_cntr_j[1].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0]Jstat_regs_inst/g_DSP_MUX_cntr_j[1].g_DSP_MUX_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[0].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Ystat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " astat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0][stat_regs_inst/g_DSP_MUX_cntr_k[1].g_DSP_MUX_j[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " 9stat_regs_inst/g_DSP_MUX_rate_i[3].i_DSP_MUX/DSP48E2_inst 9stat_regs_inst/g_DSP_MUX_rate_i[3].i_DSP_MUX/DSP48E2_inst2default:default2default:default2 " Astat_regs_inst/g_DSP_MUX_rate_i[3].i_DSP_MUX/DSP48E2_inst/P[47:0];stat_regs_inst/g_DSP_MUX_rate_i[3].i_DSP_MUX/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[0].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[1].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[2].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[3].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[4].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[5].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[6].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " Jstat_regs_inst/g_DSP_MUX_rate_k[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst Jstat_regs_inst/g_DSP_MUX_rate_k[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst2default:default2default:default2 " Rstat_regs_inst/g_DSP_MUX_rate_k[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P[47:0]Lstat_regs_inst/g_DSP_MUX_rate_k[7].g_DSP_MUX_i[3].i_DSP_MUX_C/DSP48E2_inst/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " :stat_regs_inst/g_DSP_cntr[0].i_DSP_counterX4/DSP48E2_inst2 :stat_regs_inst/g_DSP_cntr[0].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Bstat_regs_inst/g_DSP_cntr[0].i_DSP_counterX4/DSP48E2_inst2/P[47:0]stat_regs_inst/g_DSP_cntr[100].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[101].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[102].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[103].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[104].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[105].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[106].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[107].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[108].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[109].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[10].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[10].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[10].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[10].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[110].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[111].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[112].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[113].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[114].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[115].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[116].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[117].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[118].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[119].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[11].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[11].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[11].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[11].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[120].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[121].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[122].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[123].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[124].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[125].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[126].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " stat_regs_inst/g_DSP_cntr[127].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[12].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[12].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[12].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[12].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[13].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[13].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[13].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[13].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[14].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[14].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[14].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[14].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[15].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[15].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[15].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[15].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[16].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[16].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[16].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[16].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[17].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[17].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[17].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[17].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[18].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[18].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[18].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[18].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " ;stat_regs_inst/g_DSP_cntr[19].i_DSP_counterX4/DSP48E2_inst2 ;stat_regs_inst/g_DSP_cntr[19].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Cstat_regs_inst/g_DSP_cntr[19].i_DSP_counterX4/DSP48E2_inst2/P[47:0]=stat_regs_inst/g_DSP_cntr[19].i_DSP_counterX4/DSP48E2_inst2/P2default:default2default:default2= %DRC|Netlist|Instance|Pipeline|DSP48E22default:default8ZDPOP-3hpx  PREG Output pipelining: DSP %s output %s is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.%s*DRC2 " :stat_regs_inst/g_DSP_cntr[1].i_DSP_counterX4/DSP48E2_inst2 :stat_regs_inst/g_DSP_cntr[1].i_DSP_counterX4/DSP48E2_inst22default:default2default:default2 " Bstat_regs_inst/g_DSP_cntr[1].i_DSP_counterX4/DSP48E2_inst2/P[47:0] Loading site data... 1273* designutilsZ12-1167hpx ? Loading route data... 1272* designutilsZ12-1166hpx ? Processing options... 1362* designutilsZ12-1514hpx < Creating bitmap... 1249* designutilsZ12-1141hpx 7 Creating bitstream... 7* bitstreamZ40-7hpx ` Writing bitstream %s... 11* bitstream2# ./ngFEC_top.bit2default:defaultZ40-11hpx F Bitgen Completed Successfully. 1606* planAheadZ12-1842hpx s QWebTalk data collection is enabled (User setting is ON. Install Setting is ON.). 118*projectZ1-118hpx  '%s' has been successfully sent to Xilinx on %s. For additional details about this file, please refer to the Webtalk help file at %s. 186*common2q ]D:/Design_collection/ngFECKU115_pcie/ngFECKU115_pcie.runs/impl_1/usage_statistics_webtalk.xml2default:default2, Sat Mar 13 12:42:57 20212default:default2I 5D:/Xilinx/Vivado/2020.2/doc/webtalk_introduction.html2default:defaultZ17-186hpx Z Releasing license: %s 83*common2" Implementation2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 7442default:default2 3082default:default2 02default:default2 02default:defaultZ4-41hpx a %s completed successfully 29* vivadotcl2# write_bitstream2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2% write_bitstream: 2default:default2 00:09:092default:default2 00:05:532default:default2 18451.4412default:default2 1868.5662default:defaultZ17-268hp x   End Record