Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 | Date : Sat Mar 13 12:29:02 2021 | Host : baby running 64-bit major release (build 9200) | Command : report_power -file ngFEC_top_power_routed.rpt -pb ngFEC_top_power_summary_routed.pb -rpx ngFEC_top_power_routed.rpx | Design : ngFEC_top | Device : xcku115-flva2104-1-c | Design State : routed | Grade : commercial | Process : typical | Characterization : Production ------------------------------------------------------------------------------------------------------------------------------------------------- Power Report Table of Contents ----------------- 1. Summary 1.1 On-Chip Components 1.2 Power Supply Summary 1.3 Confidence Level 2. Settings 2.1 Environment 2.2 Clock Constraints 3. Detailed Reports 3.1 By Hierarchy 1. Summary ---------- +--------------------------+--------------+ | Total On-Chip Power (W) | 21.859 | | Design Power Budget (W) | Unspecified* | | Power Budget Margin (W) | NA | | Dynamic (W) | 19.928 | | Device Static (W) | 1.931 | | Effective TJA (C/W) | 0.8 | | Max Ambient (C) | 67.4 | | Junction Temperature (C) | 42.6 | | Confidence Level | Low | | Setting File | --- | | Simulation Activity File | --- | | Design Nets Matched | NA | +--------------------------+--------------+ * Specify Design Power Budget using, set_operating_conditions -design_power_budget 1.1 On-Chip Components ---------------------- +--------------------------+-----------+----------+-----------+-----------------+ | On-Chip | Power (W) | Used | Available | Utilization (%) | +--------------------------+-----------+----------+-----------+-----------------+ | Clocks | 1.040 | 81 | --- | --- | | CLB Logic | 3.505 | 969309 | --- | --- | | LUT as Logic | 3.475 | 429142 | 663360 | 64.69 | | Register | 0.025 | 388327 | 1326720 | 29.27 | | CARRY8 | 0.003 | 8780 | 82920 | 10.59 | | LUT as Shift Register | 0.002 | 123 | 293760 | 0.04 | | F7/F8 Muxes | <0.001 | 1057 | 663360 | 0.16 | | LUT as Distributed RAM | <0.001 | 40 | 293760 | 0.01 | | BUFG | <0.001 | 3 | 192 | 1.56 | | Others | 0.000 | 26390 | --- | --- | | Signals | 3.874 | 830196 | --- | --- | | Block RAM | 2.221 | 1757.5 | 2160 | 81.37 | | MMCM | 0.127 | 2 | 24 | 8.33 | | DSPs | 0.412 | 1003 | 5520 | 18.17 | | I/O | 0.052 | 44 | 832 | 5.29 | | GTH | 8.697 | 50 | 52 | 96.15 | | Static Power | 1.931 | | | | | Total | 21.859 | | | | +--------------------------+-----------+----------+-----------+-----------------+ 1.2 Power Supply Summary ------------------------ +------------+-------------+-----------+-------------+------------+-------------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | +------------+-------------+-----------+-------------+------------+-------------+-------------+------------+ | Vccint | 0.950 | 13.006 | 12.273 | 0.733 | NA | Unspecified | NA | | Vccaux | 1.800 | 0.323 | 0.070 | 0.252 | NA | Unspecified | NA | | Vccaux_io | 1.800 | 0.169 | 0.007 | 0.163 | NA | Unspecified | NA | | Vccint_io | 0.950 | 0.066 | 0.004 | 0.062 | NA | Unspecified | NA | | Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco18 | 1.800 | 0.020 | 0.020 | 0.000 | NA | Unspecified | NA | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco10 | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vccbram | 0.950 | 0.186 | 0.083 | 0.103 | NA | Unspecified | NA | | MGTAVcc | 1.000 | 4.653 | 4.536 | 0.117 | NA | Unspecified | NA | | MGTAVtt | 1.200 | 3.012 | 2.876 | 0.135 | NA | Unspecified | NA | | MGTVccaux | 1.800 | 0.014 | 0.013 | 0.000 | NA | Unspecified | NA | | Vccadc | 1.800 | 0.028 | 0.000 | 0.028 | NA | Unspecified | NA | | MGTYVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | MGTYAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | MGTYAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +------------+-------------+-----------+-------------+------------+-------------+-------------+------------+ 1.3 Confidence Level -------------------- +-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ | User Input Data | Confidence | Details | Action | +-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ | Design implementation state | High | Design is routed | | | Clock nodes activity | High | User specified more than 95% of clocks | | | I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | | Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | | Device models | High | Device models are Production | | | | | | | | Overall confidence level | Low | | | +-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ 2. Settings ----------- 2.1 Environment --------------- +-----------------------+--------------------------+ | Ambient Temp (C) | 25.0 | | ThetaJA (C/W) | 0.8 | | Airflow (LFM) | 250 | | Heat Sink | medium (Medium Profile) | | ThetaSA (C/W) | 1.2 | | Board Selection | medium (10"x10") | | # of Board Layers | 12to15 (12 to 15 Layers) | | Board Temperature (C) | 25.0 | +-----------------------+--------------------------+ 2.2 Clock Constraints --------------------- +-----------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+ | Clock | Domain | Constraint (ns) | +-----------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+ | CLKFBOUT | CLKFBOUT | 25.0 | | DRPclk | DRPclk | 20.0 | | DRPclk_dcm | DRPclk_dcm | 20.0 | | GBT_refclk0 | GBT_refclk1_p[0] | 3.1 | | GBT_refclk1 | GBT_refclk1_p[1] | 3.1 | | GBT_refclk2 | GBT_refclk1_p[2] | 3.1 | | GBT_refclk3 | GBT_refclk1_p[3] | 3.1 | | TTC_rx_refclk | TTC_rx_refclk_p | 3.1 | | TTC_rxusrclk | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | 3.1 | | axi_c2c_phy_clk | i_axi_slave/i_aurora/inst/clock_module_i/ultrascale_tx_userclk_1/init_clk | 12.8 | | clk125 | CLKFBIN | 8.0 | | clk125_dcm | clk125_dcm | 8.0 | | clk250 | clk250 | 4.0 | | clk250_dcm | clk250_dcm | 4.0 | | fabric_clk | fabric_clk | 25.0 | | fabric_clk_dcm | fabric_clk_dcm | 25.0 | | fabric_clk_in | i_tcds2_if/fabric_clk_in | 25.0 | | gtwiz_userclk_rx_srcclk_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_1 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_10 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_11 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_12 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_13 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_14 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_15 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_16 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_17 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_18 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_19 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_2 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_20 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_21 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_22 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_23 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_24 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_25 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_26 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_27 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_28 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_29 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_3 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_30 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_31 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_32 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_33 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_34 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_35 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_36 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_37 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_38 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_39 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_4 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_40 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_41 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_42 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_43 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_44 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_45 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_46 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_47 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_5 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_7 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_8 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | gtwiz_userclk_rx_srcclk_out[0]_9 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/gtwiz_userclk_rx_srcclk_out[0] | 8.3 | | ipb_clk | ipb_clk | 32.0 | | ipb_clk_dcm | ipb_clk_dcm | 32.0 | | qpll0outclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst/common_inst/qpll0outclk_out[0] | 0.2 | | qpll0outrefclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst/common_inst/qpll0outrefclk_out[0] | 3.1 | | qpll1outclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst/common_inst/qpll1outclk_out[0] | 0.2 | | qpll1outrefclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst/common_inst/qpll1outrefclk_out[0] | 3.1 | | refclk125 | refclk125_p | 8.0 | | rx_rcvclk | i_tcds2_if/i_mgt_wrapper/TTC_rx_rcvclk_p | 3.1 | | rxoutclk_out[0] | i_axi_slave/i_aurora/inst/aurora_64b66b_0_core_i/aurora_64b66b_0_wrapper_i/aurora_64b66b_0_multi_gt_i/aurora_64b66b_0_gt_i/inst/gen_gtwizard_gthe3_top.aurora_64b66b_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rxoutclk_out[0] | 6.4 | | rxoutclk_out[0]_1 | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rxoutclk_out[0] | 3.1 | | tx_wordclk | tx_wordclk | 8.3 | | tx_wordclk_dcm | tx_wordclk_dcm | 8.3 | | txoutclk_out[0]_48 | i_axi_slave/i_aurora/inst/aurora_64b66b_0_core_i/aurora_64b66b_0_wrapper_i/aurora_64b66b_0_multi_gt_i/aurora_64b66b_0_gt_i/inst/gen_gtwizard_gthe3_top.aurora_64b66b_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/txoutclk_out[0] | 6.4 | | txoutclk_out[0]_49 | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/txoutclk_out[0] | 3.1 | +-----------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+ 3. Detailed Reports ------------------- 3.1 By Hierarchy ---------------- +------------------------------------------------------------+-----------+ | Name | Power (W) | +------------------------------------------------------------+-----------+ | ngFEC_top | 19.928 | | SFP_GEN[0].ngCCM_gbt | 0.008 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[0].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[10].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[10].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[11].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[11].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[12].ngCCM_gbt | 0.008 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[12].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[13].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[13].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[14].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[14].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[15].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[15].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[16].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[16].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[17].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[17].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[18].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[18].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[19].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[19].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[1].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[1].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[20].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[20].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[21].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[21].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[22].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[22].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[23].ngCCM_gbt | 0.008 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[23].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[24].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[24].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[25].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[25].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[26].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[26].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[27].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[27].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[28].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[28].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[29].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[29].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[2].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[2].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[30].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[30].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[31].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[31].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[32].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[32].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[33].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[33].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[34].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[34].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[35].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[35].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[36].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[36].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[37].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[37].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[38].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[38].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[39].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[39].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[3].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[3].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[40].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[40].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[41].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[41].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[42].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[42].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[43].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[43].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[44].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[44].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[45].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[45].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[46].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[46].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[47].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[47].ngFEC_module | 0.052 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].buffer_server | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[4].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[4].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[5].ngCCM_gbt | 0.008 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[5].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[6].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[6].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[7].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[7].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[8].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[8].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | SFP_GEN[9].ngCCM_gbt | 0.007 | | LocalJTAGBridge_inst | 0.001 | | JTAGMaster_inst | 0.001 | | SFP_GEN[9].ngFEC_module | 0.050 | | bram_array[0].RAM | 0.003 | | BRAM_l | 0.003 | | bram_array[10].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[11].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[12].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[13].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | bram_array[9].RAM | 0.003 | | BRAM_l | 0.002 | | ctrl_regs_inst | 0.006 | | g_clock_rate_din[0].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[0].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[0].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[0].i_rate_test_comm | 0.002 | | g_clock_rate_din[10].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[10].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[10].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[10].i_rate_test_comm | 0.002 | | g_clock_rate_din[11].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[11].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[11].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[11].i_rate_test_comm | 0.002 | | g_clock_rate_din[12].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[12].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[12].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[12].i_rate_test_comm | 0.002 | | g_clock_rate_din[13].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[13].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[13].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[13].i_rate_test_comm | 0.002 | | g_clock_rate_din[14].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[14].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[14].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[14].i_rate_test_comm | 0.002 | | g_clock_rate_din[15].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[15].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[15].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[15].i_rate_test_comm | 0.002 | | g_clock_rate_din[16].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[16].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[16].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[16].i_rate_test_comm | 0.002 | | g_clock_rate_din[17].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[17].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[17].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[17].i_rate_test_comm | 0.002 | | g_clock_rate_din[18].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[18].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[18].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[18].i_rate_test_comm | 0.002 | | g_clock_rate_din[19].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[19].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[19].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[19].i_rate_test_comm | 0.002 | | g_clock_rate_din[1].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[1].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[1].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[1].i_rate_test_comm | 0.002 | | g_clock_rate_din[20].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[20].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[20].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[20].i_rate_test_comm | 0.002 | | g_clock_rate_din[21].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[21].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[21].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[21].i_rate_test_comm | 0.002 | | g_clock_rate_din[22].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[22].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[22].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[22].i_rate_test_comm | 0.002 | | g_clock_rate_din[23].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[23].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[23].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[23].i_rate_test_comm | 0.002 | | g_clock_rate_din[24].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[24].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[24].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[24].i_rate_test_comm | 0.002 | | g_clock_rate_din[25].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[25].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[25].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[25].i_rate_test_comm | 0.002 | | g_clock_rate_din[26].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[26].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[26].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[26].i_rate_test_comm | 0.002 | | g_clock_rate_din[27].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[27].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[27].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[27].i_rate_test_comm | 0.002 | | g_clock_rate_din[28].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[28].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[28].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[28].i_rate_test_comm | 0.001 | | g_clock_rate_din[29].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[29].i_rate_ngccm_status1 | 0.003 | | g_clock_rate_din[29].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[29].i_rate_test_comm | 0.002 | | g_clock_rate_din[2].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[2].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[2].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[2].i_rate_test_comm | 0.002 | | g_clock_rate_din[30].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[30].i_rate_ngccm_status1 | 0.003 | | g_clock_rate_din[30].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[30].i_rate_test_comm | 0.001 | | g_clock_rate_din[31].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[31].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[31].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[31].i_rate_test_comm | 0.002 | | g_clock_rate_din[32].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[32].i_rate_ngccm_status1 | 0.003 | | g_clock_rate_din[32].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[32].i_rate_test_comm | 0.002 | | g_clock_rate_din[33].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[33].i_rate_ngccm_status1 | 0.003 | | g_clock_rate_din[33].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[33].i_rate_test_comm | 0.002 | | g_clock_rate_din[34].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[34].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[34].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[34].i_rate_test_comm | 0.002 | | g_clock_rate_din[35].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[35].i_rate_ngccm_status1 | 0.003 | | g_clock_rate_din[35].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[35].i_rate_test_comm | 0.002 | | g_clock_rate_din[36].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[36].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[36].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[36].i_rate_test_comm | 0.001 | | g_clock_rate_din[37].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[37].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[37].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[37].i_rate_test_comm | 0.002 | | g_clock_rate_din[38].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[38].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[38].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[38].i_rate_test_comm | 0.002 | | g_clock_rate_din[39].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[39].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[39].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[39].i_rate_test_comm | 0.002 | | g_clock_rate_din[3].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[3].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[3].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[3].i_rate_test_comm | 0.002 | | g_clock_rate_din[40].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[40].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[40].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[40].i_rate_test_comm | 0.002 | | g_clock_rate_din[41].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[41].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[41].i_rate_ngccm_status2 | 0.003 | | g_clock_rate_din[41].i_rate_test_comm | 0.002 | | g_clock_rate_din[42].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[42].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[42].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[42].i_rate_test_comm | 0.002 | | g_clock_rate_din[43].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[43].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[43].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[43].i_rate_test_comm | 0.002 | | g_clock_rate_din[44].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[44].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[44].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[44].i_rate_test_comm | 0.002 | | g_clock_rate_din[45].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[45].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[45].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[45].i_rate_test_comm | 0.002 | | g_clock_rate_din[46].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[46].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[46].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[46].i_rate_test_comm | 0.002 | | g_clock_rate_din[47].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[47].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[47].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[47].i_rate_test_comm | 0.002 | | g_clock_rate_din[4].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[4].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[4].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[4].i_rate_test_comm | 0.002 | | g_clock_rate_din[5].i_rate_ngccm_status0 | 0.003 | | g_clock_rate_din[5].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[5].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[5].i_rate_test_comm | 0.002 | | g_clock_rate_din[6].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[6].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[6].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[6].i_rate_test_comm | 0.002 | | g_clock_rate_din[7].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[7].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[7].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[7].i_rate_test_comm | 0.002 | | g_clock_rate_din[8].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[8].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[8].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[8].i_rate_test_comm | 0.002 | | g_clock_rate_din[9].i_rate_ngccm_status0 | 0.002 | | g_clock_rate_din[9].i_rate_ngccm_status1 | 0.002 | | g_clock_rate_din[9].i_rate_ngccm_status2 | 0.002 | | g_clock_rate_din[9].i_rate_test_comm | 0.002 | | g_gbt_bank[0].gbtbank | 3.822 | | i_gbt_bank | 3.817 | | gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst | 0.024 | | gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst | 0.022 | | gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst | 0.121 | | gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst | 0.113 | | gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst | 0.117 | | gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst | 0.117 | | gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst | 0.114 | | gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst | 0.117 | | gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst | 0.113 | | gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst | 0.116 | | gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst | 0.115 | | gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst | 0.118 | | gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst | 0.115 | | gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst | 0.113 | | gbt_txdatapath_multilink_gen[0].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[10].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[11].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[1].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[2].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[3].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[4].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[5].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[6].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[7].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[8].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[9].gbt_txdatapath_inst | 0.003 | | gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst | 0.002 | | mgt_inst | 2.102 | | g_gbt_bank[1].gbtbank | 3.883 | | i_gbt_bank | 3.878 | | gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst | 0.024 | | gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst | 0.026 | | gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst | 0.024 | | gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst | 0.024 | | gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst | 0.023 | | gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst | 0.109 | | gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst | 0.125 | | gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst | 0.134 | | gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst | 0.109 | | gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst | 0.114 | | gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst | 0.118 | | gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst | 0.121 | | gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst | 0.120 | | gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst | 0.131 | | gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst | 0.117 | | gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst | 0.123 | | gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst | 0.121 | | gbt_txdatapath_multilink_gen[0].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[10].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[11].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[1].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[2].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[3].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[4].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[5].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[6].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[7].gbt_txdatapath_inst | 0.004 | | gbt_txdatapath_multilink_gen[8].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[9].gbt_txdatapath_inst | 0.003 | | gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst | 0.002 | | mgt_inst | 2.102 | | g_gbt_bank[2].gbtbank | 3.819 | | i_gbt_bank | 3.814 | | gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst | 0.022 | | gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst | 0.111 | | gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst | 0.118 | | gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst | 0.116 | | gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst | 0.123 | | gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst | 0.112 | | gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst | 0.119 | | gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst | 0.118 | | gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst | 0.109 | | gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst | 0.114 | | gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst | 0.115 | | gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst | 0.119 | | gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst | 0.116 | | gbt_txdatapath_multilink_gen[0].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[10].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[11].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[1].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[2].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[3].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[4].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[5].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[6].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[7].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[8].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[9].gbt_txdatapath_inst | 0.003 | | gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst | 0.002 | | mgt_inst | 2.101 | | g_gbt_bank[3].gbtbank | 3.914 | | i_gbt_bank | 3.909 | | gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst | 0.025 | | gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst | 0.021 | | gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst | 0.027 | | gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst | 0.023 | | gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst | 0.024 | | gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst | 0.022 | | gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst | 0.023 | | gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst | 0.131 | | gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst | 0.121 | | gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst | 0.107 | | gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst | 0.118 | | gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst | 0.123 | | gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst | 0.123 | | gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst | 0.142 | | gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst | 0.122 | | gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst | 0.117 | | gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst | 0.124 | | gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst | 0.116 | | gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst | 0.127 | | gbt_txdatapath_multilink_gen[0].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[10].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[11].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[1].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[2].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[3].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[4].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[5].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[6].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[7].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[8].gbt_txdatapath_inst | 0.003 | | gbt_txdatapath_multilink_gen[9].gbt_txdatapath_inst | 0.003 | | gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst | 0.001 | | gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst | 0.002 | | gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst | 0.002 | | mgt_inst | 2.101 | | i_AXI4_to_ipbus | 0.012 | | i_r_FIFO | 0.001 | | i_w_FIFO | 0.001 | | i_I2C_if | 0.035 | | I2C_array[0].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[1].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[2].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[3].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[4].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[5].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[6].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[7].RAM | 0.003 | | BRAM_l | 0.002 | | I2C_array[8].RAM | 0.003 | | BRAM_l | 0.002 | | i_axi_slave | 0.247 | | i_aurora | 0.228 | | inst | 0.228 | | i_axi_chip2chip | 0.018 | | inst | 0.018 | | i_tcds2_if | 0.451 | | cmp_lpgbtfpga_uplink | 0.082 | | lpgbtfpga_decoder_inst | 0.014 | | lpgbtfpga_descrambler_inst | 0.043 | | lpgbtfpga_framealigner_inst | 0.001 | | rxgearbox_10g_gen.rxGearbox_10g24_inst | 0.016 | | i_mgt_wrapper | 0.336 | | i_mgt | 0.284 | | i_reset_sm | 0.003 | | prbs_checker | 0.003 | | cmp_prbs_gen | 0.001 | | prbs_generator | 0.007 | | txdatapath_inst | 0.011 | | UPS | 0.011 | | txgearbox_inst | 0.002 | | stat_regs_inst | 0.355 | | g_DSP_MUX_rate_k[0].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[0].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[0].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[0].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[1].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[1].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[1].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[1].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[2].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[2].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[2].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[2].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[3].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[3].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[3].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[3].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[4].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[4].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[4].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[4].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[5].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[5].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[5].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[5].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[6].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[6].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[6].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[6].i_DSP_MUX_C_b | 0.001 | | g_DSP_MUX_rate_k[7].g_DSP_MUX_i[1].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[7].g_DSP_MUX_i[2].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[7].g_DSP_MUX_i[3].i_DSP_MUX_C | 0.001 | | g_DSP_MUX_rate_k[7].i_DSP_MUX_C_b | 0.001 | | g_DSP_cntr[0].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[100].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[101].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[102].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[103].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[104].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[105].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[106].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[107].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[108].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[10].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[11].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[12].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[13].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[14].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[15].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[16].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[17].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[18].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[19].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[1].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[20].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[21].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[22].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[23].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[25].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[2].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[3].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[48].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[49].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[4].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[50].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[51].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[52].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[53].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[54].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[55].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[56].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[57].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[58].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[59].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[5].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[60].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[61].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[62].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[63].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[64].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[65].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[66].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[67].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[68].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[69].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[6].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[70].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[71].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[72].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[73].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[74].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[75].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[76].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[77].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[78].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[79].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[7].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[80].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[81].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[82].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[83].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[84].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[85].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[86].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[87].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[88].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[89].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[8].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[90].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[91].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[92].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[93].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[94].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[95].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[96].i_DSP_counterX4 | 0.002 | | g_DSP_cntr[97].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[98].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[99].i_DSP_counterX4 | 0.001 | | g_DSP_cntr[9].i_DSP_counterX4 | 0.002 | | g_DSP_rate[0].i_DSP_counterX4 | 0.002 | | g_DSP_rate[10].i_DSP_counterX4 | 0.003 | | g_DSP_rate[11].i_DSP_counterX4 | 0.003 | | g_DSP_rate[12].i_DSP_counterX4 | 0.002 | | g_DSP_rate[13].i_DSP_counterX4 | 0.002 | | g_DSP_rate[14].i_DSP_counterX4 | 0.003 | | g_DSP_rate[15].i_DSP_counterX4 | 0.003 | | g_DSP_rate[16].i_DSP_counterX4 | 0.002 | | g_DSP_rate[17].i_DSP_counterX4 | 0.002 | | g_DSP_rate[18].i_DSP_counterX4 | 0.002 | | g_DSP_rate[19].i_DSP_counterX4 | 0.002 | | g_DSP_rate[1].i_DSP_counterX4 | 0.002 | | g_DSP_rate[20].i_DSP_counterX4 | 0.002 | | g_DSP_rate[21].i_DSP_counterX4 | 0.002 | | g_DSP_rate[22].i_DSP_counterX4 | 0.002 | | g_DSP_rate[23].i_DSP_counterX4 | 0.002 | | g_DSP_rate[24].i_DSP_counterX4 | 0.002 | | g_DSP_rate[25].i_DSP_counterX4 | 0.002 | | g_DSP_rate[26].i_DSP_counterX4 | 0.002 | | g_DSP_rate[27].i_DSP_counterX4 | 0.002 | | g_DSP_rate[28].i_DSP_counterX4 | 0.002 | | g_DSP_rate[29].i_DSP_counterX4 | 0.002 | | g_DSP_rate[2].i_DSP_counterX4 | 0.002 | | g_DSP_rate[30].i_DSP_counterX4 | 0.002 | | g_DSP_rate[31].i_DSP_counterX4 | 0.002 | | g_DSP_rate[32].i_DSP_counterX4 | 0.002 | | g_DSP_rate[33].i_DSP_counterX4 | 0.002 | | g_DSP_rate[34].i_DSP_counterX4 | 0.002 | | g_DSP_rate[35].i_DSP_counterX4 | 0.002 | | g_DSP_rate[36].i_DSP_counterX4 | 0.002 | | g_DSP_rate[37].i_DSP_counterX4 | 0.002 | | g_DSP_rate[38].i_DSP_counterX4 | 0.002 | | g_DSP_rate[39].i_DSP_counterX4 | 0.002 | | g_DSP_rate[3].i_DSP_counterX4 | 0.003 | | g_DSP_rate[40].i_DSP_counterX4 | 0.002 | | g_DSP_rate[41].i_DSP_counterX4 | 0.002 | | g_DSP_rate[42].i_DSP_counterX4 | 0.002 | | g_DSP_rate[43].i_DSP_counterX4 | 0.002 | | g_DSP_rate[44].i_DSP_counterX4 | 0.002 | | g_DSP_rate[45].i_DSP_counterX4 | 0.002 | | g_DSP_rate[46].i_DSP_counterX4 | 0.002 | | g_DSP_rate[47].i_DSP_counterX4 | 0.002 | | g_DSP_rate[48].i_DSP_counterX4 | 0.001 | | g_DSP_rate[49].i_DSP_counterX4 | 0.002 | | g_DSP_rate[4].i_DSP_counterX4 | 0.002 | | g_DSP_rate[50].i_DSP_counterX4 | 0.002 | | g_DSP_rate[51].i_DSP_counterX4 | 0.002 | | g_DSP_rate[52].i_DSP_counterX4 | 0.002 | | g_DSP_rate[53].i_DSP_counterX4 | 0.002 | | g_DSP_rate[54].i_DSP_counterX4 | 0.002 | | g_DSP_rate[55].i_DSP_counterX4 | 0.002 | | g_DSP_rate[56].i_DSP_counterX4 | 0.002 | | g_DSP_rate[57].i_DSP_counterX4 | 0.002 | | g_DSP_rate[58].i_DSP_counterX4 | 0.002 | | g_DSP_rate[59].i_DSP_counterX4 | 0.002 | | g_DSP_rate[5].i_DSP_counterX4 | 0.003 | | g_DSP_rate[60].i_DSP_counterX4 | 0.002 | | g_DSP_rate[61].i_DSP_counterX4 | 0.002 | | g_DSP_rate[62].i_DSP_counterX4 | 0.002 | | g_DSP_rate[63].i_DSP_counterX4 | 0.002 | | g_DSP_rate[6].i_DSP_counterX4 | 0.002 | | g_DSP_rate[7].i_DSP_counterX4 | 0.003 | | g_DSP_rate[8].i_DSP_counterX4 | 0.004 | | g_DSP_rate[9].i_DSP_counterX4 | 0.003 | | i_cntr_rst_ctrl | 0.001 | | i_ram_cntr | 0.005 | | xpm_memory_base_inst | 0.005 | | i_ram_rate | 0.006 | | xpm_memory_base_inst | 0.006 | +------------------------------------------------------------+-----------+