vlib modelsim_lib/work vlib modelsim_lib/msim vlib modelsim_lib/msim/xpm vlib modelsim_lib/msim/axi_chip2chip_v5_0_9 vlib modelsim_lib/msim/xil_defaultlib vmap xpm modelsim_lib/msim/xpm vmap axi_chip2chip_v5_0_9 modelsim_lib/msim/axi_chip2chip_v5_0_9 vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib vlog -work xpm -incr -sv \ "D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ "D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_fifo/hdl/xpm_fifo.sv" \ "D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ vcom -work xpm -93 \ "D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \ vlog -work axi_chip2chip_v5_0_9 -incr \ "../../../ipstatic/hdl/axi_chip2chip_v5_0_rfs.v" \ vlog -work xil_defaultlib -incr \ "../../../../ngFECKU115_pcie.srcs/sources_1/ip/axi_chip2chip_64B66B/sim/axi_chip2chip_64B66B.v" \ vlog -work xil_defaultlib \ "glbl.v"