// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 // Date : Fri Mar 12 21:25:04 2021 // Host : baby running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/ttc_mgt/ttc_mgt_sim_netlist.v // Design : ttc_mgt // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. // Device : xcku115-flva2104-1-c // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps (* CHECK_LICENSE_TYPE = "ttc_mgt,ttc_mgt_gtwizard_top,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* X_CORE_INFO = "ttc_mgt_gtwizard_top,Vivado 2020.2" *) (* NotValidForBitStream *) module ttc_mgt (gtwiz_userclk_tx_active_in, gtwiz_userclk_rx_active_in, gtwiz_buffbypass_rx_reset_in, gtwiz_buffbypass_rx_start_user_in, gtwiz_buffbypass_rx_done_out, gtwiz_buffbypass_rx_error_out, gtwiz_reset_tx_done_in, gtwiz_reset_rx_done_in, gtwiz_userdata_tx_in, gtwiz_userdata_rx_out, gtrefclk00_in, gtrefclk01_in, gtsouthrefclk00_in, gtsouthrefclk01_in, gtsouthrefclk10_in, gtsouthrefclk11_in, qpll0refclksel_in, qpll0reset_in, qpll1refclksel_in, qpll1reset_in, qpll0lock_out, qpll0outclk_out, qpll0outrefclk_out, qpll1lock_out, qpll1outclk_out, qpll1outrefclk_out, gthrxn_in, gthrxp_in, gtrxreset_in, gttxreset_in, loopback_in, rxpolarity_in, rxprogdivreset_in, rxslide_in, rxuserrdy_in, rxusrclk_in, rxusrclk2_in, txpolarity_in, txprogdivreset_in, txuserrdy_in, txusrclk_in, txusrclk2_in, gthtxn_out, gthtxp_out, gtpowergood_out, rxcdrlock_out, rxoutclk_out, rxpmaresetdone_out, rxrecclkout_out, rxresetdone_out, txoutclk_out, txpmaresetdone_out, txresetdone_out); input [0:0]gtwiz_userclk_tx_active_in; input [0:0]gtwiz_userclk_rx_active_in; input [0:0]gtwiz_buffbypass_rx_reset_in; input [0:0]gtwiz_buffbypass_rx_start_user_in; output [0:0]gtwiz_buffbypass_rx_done_out; output [0:0]gtwiz_buffbypass_rx_error_out; input [0:0]gtwiz_reset_tx_done_in; input [0:0]gtwiz_reset_rx_done_in; input [31:0]gtwiz_userdata_tx_in; output [31:0]gtwiz_userdata_rx_out; input [0:0]gtrefclk00_in; input [0:0]gtrefclk01_in; input [0:0]gtsouthrefclk00_in; input [0:0]gtsouthrefclk01_in; input [0:0]gtsouthrefclk10_in; input [0:0]gtsouthrefclk11_in; input [2:0]qpll0refclksel_in; input [0:0]qpll0reset_in; input [2:0]qpll1refclksel_in; input [0:0]qpll1reset_in; output [0:0]qpll0lock_out; output [0:0]qpll0outclk_out; output [0:0]qpll0outrefclk_out; output [0:0]qpll1lock_out; output [0:0]qpll1outclk_out; output [0:0]qpll1outrefclk_out; input [0:0]gthrxn_in; input [0:0]gthrxp_in; input [0:0]gtrxreset_in; input [0:0]gttxreset_in; input [2:0]loopback_in; input [0:0]rxpolarity_in; input [0:0]rxprogdivreset_in; input [0:0]rxslide_in; input [0:0]rxuserrdy_in; input [0:0]rxusrclk_in; input [0:0]rxusrclk2_in; input [0:0]txpolarity_in; input [0:0]txprogdivreset_in; input [0:0]txuserrdy_in; input [0:0]txusrclk_in; input [0:0]txusrclk2_in; output [0:0]gthtxn_out; output [0:0]gthtxp_out; output [0:0]gtpowergood_out; output [0:0]rxcdrlock_out; output [0:0]rxoutclk_out; output [0:0]rxpmaresetdone_out; output [0:0]rxrecclkout_out; output [0:0]rxresetdone_out; output [0:0]txoutclk_out; output [0:0]txpmaresetdone_out; output [0:0]txresetdone_out; wire [0:0]gthrxn_in; wire [0:0]gthrxp_in; wire [0:0]gthtxn_out; wire [0:0]gthtxp_out; wire [0:0]gtpowergood_out; wire [0:0]gtrefclk00_in; wire [0:0]gtrefclk01_in; wire [0:0]gtrxreset_in; wire [0:0]gtsouthrefclk00_in; wire [0:0]gtsouthrefclk01_in; wire [0:0]gtsouthrefclk10_in; wire [0:0]gtsouthrefclk11_in; wire [0:0]gttxreset_in; wire [0:0]gtwiz_buffbypass_rx_done_out; wire [0:0]gtwiz_buffbypass_rx_error_out; wire [0:0]gtwiz_buffbypass_rx_reset_in; wire [0:0]gtwiz_buffbypass_rx_start_user_in; wire [0:0]gtwiz_reset_rx_done_in; wire [31:0]gtwiz_userdata_rx_out; wire [31:0]gtwiz_userdata_tx_in; wire [2:0]loopback_in; wire [0:0]qpll0lock_out; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [2:0]qpll0refclksel_in; wire [0:0]qpll0reset_in; wire [0:0]qpll1lock_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [2:0]qpll1refclksel_in; wire [0:0]qpll1reset_in; wire [0:0]rxcdrlock_out; wire [0:0]rxoutclk_out; wire [0:0]rxpmaresetdone_out; wire [0:0]rxpolarity_in; wire [0:0]rxprogdivreset_in; wire [0:0]rxrecclkout_out; wire [0:0]rxresetdone_out; wire [0:0]rxslide_in; wire [0:0]rxuserrdy_in; wire [0:0]rxusrclk2_in; wire [0:0]rxusrclk_in; wire [0:0]txoutclk_out; wire [0:0]txpmaresetdone_out; wire [0:0]txpolarity_in; wire [0:0]txprogdivreset_in; wire [0:0]txresetdone_out; wire [0:0]txuserrdy_in; wire [0:0]txusrclk2_in; wire [0:0]txusrclk_in; wire [2:0]NLW_inst_bufgtce_out_UNCONNECTED; wire [2:0]NLW_inst_bufgtcemask_out_UNCONNECTED; wire [8:0]NLW_inst_bufgtdiv_out_UNCONNECTED; wire [2:0]NLW_inst_bufgtreset_out_UNCONNECTED; wire [2:0]NLW_inst_bufgtrstmask_out_UNCONNECTED; wire [0:0]NLW_inst_cpllfbclklost_out_UNCONNECTED; wire [0:0]NLW_inst_cplllock_out_UNCONNECTED; wire [0:0]NLW_inst_cpllrefclklost_out_UNCONNECTED; wire [16:0]NLW_inst_dmonitorout_out_UNCONNECTED; wire [0:0]NLW_inst_dmonitoroutclk_out_UNCONNECTED; wire [15:0]NLW_inst_drpdo_common_out_UNCONNECTED; wire [15:0]NLW_inst_drpdo_out_UNCONNECTED; wire [0:0]NLW_inst_drprdy_common_out_UNCONNECTED; wire [0:0]NLW_inst_drprdy_out_UNCONNECTED; wire [0:0]NLW_inst_eyescandataerror_out_UNCONNECTED; wire [0:0]NLW_inst_gtrefclkmonitor_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_reset_qpll0reset_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_reset_rx_done_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_reset_tx_done_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_rx_active_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_rx_srcclk_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_rx_usrclk2_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_rx_usrclk_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_tx_active_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_tx_srcclk_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_tx_usrclk2_out_UNCONNECTED; wire [0:0]NLW_inst_gtwiz_userclk_tx_usrclk_out_UNCONNECTED; wire [0:0]NLW_inst_gtytxn_out_UNCONNECTED; wire [0:0]NLW_inst_gtytxp_out_UNCONNECTED; wire [0:0]NLW_inst_pcierategen3_out_UNCONNECTED; wire [0:0]NLW_inst_pcierateidle_out_UNCONNECTED; wire [1:0]NLW_inst_pcierateqpllpd_out_UNCONNECTED; wire [1:0]NLW_inst_pcierateqpllreset_out_UNCONNECTED; wire [0:0]NLW_inst_pciesynctxsyncdone_out_UNCONNECTED; wire [0:0]NLW_inst_pcieusergen3rdy_out_UNCONNECTED; wire [0:0]NLW_inst_pcieuserphystatusrst_out_UNCONNECTED; wire [0:0]NLW_inst_pcieuserratestart_out_UNCONNECTED; wire [11:0]NLW_inst_pcsrsvdout_out_UNCONNECTED; wire [0:0]NLW_inst_phystatus_out_UNCONNECTED; wire [7:0]NLW_inst_pinrsrvdas_out_UNCONNECTED; wire [7:0]NLW_inst_pmarsvdout0_out_UNCONNECTED; wire [7:0]NLW_inst_pmarsvdout1_out_UNCONNECTED; wire [0:0]NLW_inst_powerpresent_out_UNCONNECTED; wire [0:0]NLW_inst_qpll0fbclklost_out_UNCONNECTED; wire [0:0]NLW_inst_qpll0refclklost_out_UNCONNECTED; wire [0:0]NLW_inst_qpll1fbclklost_out_UNCONNECTED; wire [0:0]NLW_inst_qpll1refclklost_out_UNCONNECTED; wire [7:0]NLW_inst_qplldmonitor0_out_UNCONNECTED; wire [7:0]NLW_inst_qplldmonitor1_out_UNCONNECTED; wire [0:0]NLW_inst_refclkoutmonitor0_out_UNCONNECTED; wire [0:0]NLW_inst_refclkoutmonitor1_out_UNCONNECTED; wire [0:0]NLW_inst_resetexception_out_UNCONNECTED; wire [2:0]NLW_inst_rxbufstatus_out_UNCONNECTED; wire [0:0]NLW_inst_rxbyteisaligned_out_UNCONNECTED; wire [0:0]NLW_inst_rxbyterealign_out_UNCONNECTED; wire [0:0]NLW_inst_rxcdrphdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxchanbondseq_out_UNCONNECTED; wire [0:0]NLW_inst_rxchanisaligned_out_UNCONNECTED; wire [0:0]NLW_inst_rxchanrealign_out_UNCONNECTED; wire [4:0]NLW_inst_rxchbondo_out_UNCONNECTED; wire [0:0]NLW_inst_rxckcaldone_out_UNCONNECTED; wire [1:0]NLW_inst_rxclkcorcnt_out_UNCONNECTED; wire [0:0]NLW_inst_rxcominitdet_out_UNCONNECTED; wire [0:0]NLW_inst_rxcommadet_out_UNCONNECTED; wire [0:0]NLW_inst_rxcomsasdet_out_UNCONNECTED; wire [0:0]NLW_inst_rxcomwakedet_out_UNCONNECTED; wire [15:0]NLW_inst_rxctrl0_out_UNCONNECTED; wire [15:0]NLW_inst_rxctrl1_out_UNCONNECTED; wire [7:0]NLW_inst_rxctrl2_out_UNCONNECTED; wire [7:0]NLW_inst_rxctrl3_out_UNCONNECTED; wire [127:0]NLW_inst_rxdata_out_UNCONNECTED; wire [7:0]NLW_inst_rxdataextendrsvd_out_UNCONNECTED; wire [1:0]NLW_inst_rxdatavalid_out_UNCONNECTED; wire [0:0]NLW_inst_rxdlysresetdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxelecidle_out_UNCONNECTED; wire [5:0]NLW_inst_rxheader_out_UNCONNECTED; wire [1:0]NLW_inst_rxheadervalid_out_UNCONNECTED; wire [0:0]NLW_inst_rxlfpstresetdet_out_UNCONNECTED; wire [0:0]NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED; wire [0:0]NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED; wire [6:0]NLW_inst_rxmonitorout_out_UNCONNECTED; wire [0:0]NLW_inst_rxosintdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxosintstarted_out_UNCONNECTED; wire [0:0]NLW_inst_rxosintstrobedone_out_UNCONNECTED; wire [0:0]NLW_inst_rxosintstrobestarted_out_UNCONNECTED; wire [0:0]NLW_inst_rxoutclkfabric_out_UNCONNECTED; wire [0:0]NLW_inst_rxoutclkpcs_out_UNCONNECTED; wire [0:0]NLW_inst_rxphaligndone_out_UNCONNECTED; wire [0:0]NLW_inst_rxphalignerr_out_UNCONNECTED; wire [0:0]NLW_inst_rxprbserr_out_UNCONNECTED; wire [0:0]NLW_inst_rxprbslocked_out_UNCONNECTED; wire [0:0]NLW_inst_rxprgdivresetdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxqpisenn_out_UNCONNECTED; wire [0:0]NLW_inst_rxqpisenp_out_UNCONNECTED; wire [0:0]NLW_inst_rxratedone_out_UNCONNECTED; wire [1:0]NLW_inst_rxrecclk0_sel_out_UNCONNECTED; wire [0:0]NLW_inst_rxrecclk0sel_out_UNCONNECTED; wire [1:0]NLW_inst_rxrecclk1_sel_out_UNCONNECTED; wire [0:0]NLW_inst_rxrecclk1sel_out_UNCONNECTED; wire [0:0]NLW_inst_rxsliderdy_out_UNCONNECTED; wire [0:0]NLW_inst_rxslipdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxslipoutclkrdy_out_UNCONNECTED; wire [0:0]NLW_inst_rxslippmardy_out_UNCONNECTED; wire [1:0]NLW_inst_rxstartofseq_out_UNCONNECTED; wire [2:0]NLW_inst_rxstatus_out_UNCONNECTED; wire [0:0]NLW_inst_rxsyncdone_out_UNCONNECTED; wire [0:0]NLW_inst_rxsyncout_out_UNCONNECTED; wire [0:0]NLW_inst_rxvalid_out_UNCONNECTED; wire [0:0]NLW_inst_sdm0finalout_out_UNCONNECTED; wire [0:0]NLW_inst_sdm0testdata_out_UNCONNECTED; wire [0:0]NLW_inst_sdm1finalout_out_UNCONNECTED; wire [0:0]NLW_inst_sdm1testdata_out_UNCONNECTED; wire [0:0]NLW_inst_tcongpo_out_UNCONNECTED; wire [0:0]NLW_inst_tconrsvdout0_out_UNCONNECTED; wire [1:0]NLW_inst_txbufstatus_out_UNCONNECTED; wire [0:0]NLW_inst_txcomfinish_out_UNCONNECTED; wire [0:0]NLW_inst_txdccdone_out_UNCONNECTED; wire [0:0]NLW_inst_txdlysresetdone_out_UNCONNECTED; wire [0:0]NLW_inst_txoutclkfabric_out_UNCONNECTED; wire [0:0]NLW_inst_txoutclkpcs_out_UNCONNECTED; wire [0:0]NLW_inst_txphaligndone_out_UNCONNECTED; wire [0:0]NLW_inst_txphinitdone_out_UNCONNECTED; wire [0:0]NLW_inst_txprgdivresetdone_out_UNCONNECTED; wire [0:0]NLW_inst_txqpisenn_out_UNCONNECTED; wire [0:0]NLW_inst_txqpisenp_out_UNCONNECTED; wire [0:0]NLW_inst_txratedone_out_UNCONNECTED; wire [0:0]NLW_inst_txsyncdone_out_UNCONNECTED; wire [0:0]NLW_inst_txsyncout_out_UNCONNECTED; wire [0:0]NLW_inst_ubdaddr_out_UNCONNECTED; wire [0:0]NLW_inst_ubden_out_UNCONNECTED; wire [0:0]NLW_inst_ubdi_out_UNCONNECTED; wire [0:0]NLW_inst_ubdwe_out_UNCONNECTED; wire [0:0]NLW_inst_ubmdmtdo_out_UNCONNECTED; wire [0:0]NLW_inst_ubrsvdout_out_UNCONNECTED; wire [0:0]NLW_inst_ubtxuart_out_UNCONNECTED; (* C_CHANNEL_ENABLE = "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_COMMON_SCALING_FACTOR = "1" *) (* C_CPLL_VCO_FREQUENCY = "2578.125000" *) (* C_ENABLE_COMMON_USRCLK = "0" *) (* C_FORCE_COMMONS = "0" *) (* C_FREERUN_FREQUENCY = "125.000000" *) (* C_GT_REV = "17" *) (* C_GT_TYPE = "0" *) (* C_INCLUDE_CPLL_CAL = "2" *) (* C_LOCATE_COMMON = "0" *) (* C_LOCATE_IN_SYSTEM_IBERT_CORE = "2" *) (* C_LOCATE_RESET_CONTROLLER = "1" *) (* C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER = "0" *) (* C_LOCATE_RX_USER_CLOCKING = "1" *) (* C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER = "0" *) (* C_LOCATE_TX_USER_CLOCKING = "1" *) (* C_LOCATE_USER_DATA_WIDTH_SIZING = "0" *) (* C_PCIE_CORECLK_FREQ = "250" *) (* C_PCIE_ENABLE = "0" *) (* C_RESET_CONTROLLER_INSTANCE_CTRL = "0" *) (* C_RESET_SEQUENCE_INTERVAL = "0" *) (* C_RX_BUFFBYPASS_MODE = "0" *) (* C_RX_BUFFER_BYPASS_INSTANCE_CTRL = "0" *) (* C_RX_BUFFER_MODE = "0" *) (* C_RX_CB_DISP = "8'b00000000" *) (* C_RX_CB_K = "8'b00000000" *) (* C_RX_CB_LEN_SEQ = "1" *) (* C_RX_CB_MAX_LEVEL = "1" *) (* C_RX_CB_NUM_SEQ = "0" *) (* C_RX_CB_VAL = "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_CC_DISP = "8'b00000000" *) (* C_RX_CC_ENABLE = "0" *) (* C_RX_CC_K = "8'b00000000" *) (* C_RX_CC_LEN_SEQ = "1" *) (* C_RX_CC_NUM_SEQ = "0" *) (* C_RX_CC_PERIODICITY = "5000" *) (* C_RX_CC_VAL = "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_COMMA_M_ENABLE = "0" *) (* C_RX_COMMA_M_VAL = "10'b1010000011" *) (* C_RX_COMMA_P_ENABLE = "0" *) (* C_RX_COMMA_P_VAL = "10'b0101111100" *) (* C_RX_DATA_DECODING = "0" *) (* C_RX_ENABLE = "1" *) (* C_RX_INT_DATA_WIDTH = "32" *) (* C_RX_LINE_RATE = "10.260224" *) (* C_RX_MASTER_CHANNEL_IDX = "99" *) (* C_RX_OUTCLK_BUFG_GT_DIV = "1" *) (* C_RX_OUTCLK_FREQUENCY = "320.632000" *) (* C_RX_OUTCLK_SOURCE = "1" *) (* C_RX_PLL_TYPE = "1" *) (* C_RX_RECCLK_OUTPUT = "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_REFCLK_FREQUENCY = "320.632000" *) (* C_RX_SLIDE_MODE = "1" *) (* C_RX_USER_CLOCKING_CONTENTS = "0" *) (* C_RX_USER_CLOCKING_INSTANCE_CTRL = "0" *) (* C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK = "1" *) (* C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 = "1" *) (* C_RX_USER_CLOCKING_SOURCE = "0" *) (* C_RX_USER_DATA_WIDTH = "32" *) (* C_RX_USRCLK2_FREQUENCY = "320.632000" *) (* C_RX_USRCLK_FREQUENCY = "320.632000" *) (* C_SECONDARY_QPLL_ENABLE = "0" *) (* C_SECONDARY_QPLL_REFCLK_FREQUENCY = "257.812500" *) (* C_SIM_CPLL_CAL_BYPASS = "1" *) (* C_TOTAL_NUM_CHANNELS = "1" *) (* C_TOTAL_NUM_COMMONS = "1" *) (* C_TOTAL_NUM_COMMONS_EXAMPLE = "0" *) (* C_TXPROGDIV_FREQ_ENABLE = "0" *) (* C_TXPROGDIV_FREQ_SOURCE = "0" *) (* C_TXPROGDIV_FREQ_VAL = "320.632000" *) (* C_TX_BUFFBYPASS_MODE = "0" *) (* C_TX_BUFFER_BYPASS_INSTANCE_CTRL = "0" *) (* C_TX_BUFFER_MODE = "1" *) (* C_TX_DATA_ENCODING = "0" *) (* C_TX_ENABLE = "1" *) (* C_TX_INT_DATA_WIDTH = "32" *) (* C_TX_LINE_RATE = "10.260224" *) (* C_TX_MASTER_CHANNEL_IDX = "99" *) (* C_TX_OUTCLK_BUFG_GT_DIV = "1" *) (* C_TX_OUTCLK_FREQUENCY = "320.632000" *) (* C_TX_OUTCLK_SOURCE = "2" *) (* C_TX_PLL_TYPE = "0" *) (* C_TX_REFCLK_FREQUENCY = "320.632000" *) (* C_TX_USER_CLOCKING_CONTENTS = "0" *) (* C_TX_USER_CLOCKING_INSTANCE_CTRL = "0" *) (* C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK = "1" *) (* C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 = "1" *) (* C_TX_USER_CLOCKING_SOURCE = "0" *) (* C_TX_USER_DATA_WIDTH = "32" *) (* C_TX_USRCLK2_FREQUENCY = "320.632000" *) (* C_TX_USRCLK_FREQUENCY = "320.632000" *) (* C_USER_GTPOWERGOOD_DELAY_EN = "0" *) ttc_mgt_ttc_mgt_gtwizard_top inst (.bgbypassb_in(1'b1), .bgmonitorenb_in(1'b1), .bgpdb_in(1'b1), .bgrcalovrd_in({1'b1,1'b1,1'b1,1'b1,1'b1}), .bgrcalovrdenb_in(1'b1), .bufgtce_out(NLW_inst_bufgtce_out_UNCONNECTED[2:0]), .bufgtcemask_out(NLW_inst_bufgtcemask_out_UNCONNECTED[2:0]), .bufgtdiv_out(NLW_inst_bufgtdiv_out_UNCONNECTED[8:0]), .bufgtreset_out(NLW_inst_bufgtreset_out_UNCONNECTED[2:0]), .bufgtrstmask_out(NLW_inst_bufgtrstmask_out_UNCONNECTED[2:0]), .cdrstepdir_in(1'b0), .cdrstepsq_in(1'b0), .cdrstepsx_in(1'b0), .cfgreset_in(1'b0), .clkrsvd0_in(1'b0), .clkrsvd1_in(1'b0), .cpllfbclklost_out(NLW_inst_cpllfbclklost_out_UNCONNECTED[0]), .cpllfreqlock_in(1'b0), .cplllock_out(NLW_inst_cplllock_out_UNCONNECTED[0]), .cplllockdetclk_in(1'b0), .cplllocken_in(1'b0), .cpllpd_in(1'b1), .cpllrefclklost_out(NLW_inst_cpllrefclklost_out_UNCONNECTED[0]), .cpllrefclksel_in({1'b0,1'b0,1'b1}), .cpllreset_in(1'b1), .dmonfiforeset_in(1'b0), .dmonitorclk_in(1'b0), .dmonitorout_out(NLW_inst_dmonitorout_out_UNCONNECTED[16:0]), .dmonitoroutclk_out(NLW_inst_dmonitoroutclk_out_UNCONNECTED[0]), .drpaddr_common_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .drpaddr_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .drpclk_common_in(1'b0), .drpclk_in(1'b0), .drpdi_common_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .drpdi_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .drpdo_common_out(NLW_inst_drpdo_common_out_UNCONNECTED[15:0]), .drpdo_out(NLW_inst_drpdo_out_UNCONNECTED[15:0]), .drpen_common_in(1'b0), .drpen_in(1'b0), .drprdy_common_out(NLW_inst_drprdy_common_out_UNCONNECTED[0]), .drprdy_out(NLW_inst_drprdy_out_UNCONNECTED[0]), .drprst_in(1'b0), .drpwe_common_in(1'b0), .drpwe_in(1'b0), .elpcaldvorwren_in(1'b0), .elpcalpaorwren_in(1'b0), .evoddphicaldone_in(1'b0), .evoddphicalstart_in(1'b0), .evoddphidrden_in(1'b0), .evoddphidwren_in(1'b0), .evoddphixrden_in(1'b0), .evoddphixwren_in(1'b0), .eyescandataerror_out(NLW_inst_eyescandataerror_out_UNCONNECTED[0]), .eyescanmode_in(1'b0), .eyescanreset_in(1'b0), .eyescantrigger_in(1'b0), .freqos_in(1'b0), .gtgrefclk0_in(1'b0), .gtgrefclk1_in(1'b0), .gtgrefclk_in(1'b0), .gthrxn_in(gthrxn_in), .gthrxp_in(gthrxp_in), .gthtxn_out(gthtxn_out), .gthtxp_out(gthtxp_out), .gtnorthrefclk00_in(1'b0), .gtnorthrefclk01_in(1'b0), .gtnorthrefclk0_in(1'b0), .gtnorthrefclk10_in(1'b0), .gtnorthrefclk11_in(1'b0), .gtnorthrefclk1_in(1'b0), .gtpowergood_out(gtpowergood_out), .gtrefclk00_in(gtrefclk00_in), .gtrefclk01_in(gtrefclk01_in), .gtrefclk0_in(1'b0), .gtrefclk10_in(1'b0), .gtrefclk11_in(1'b0), .gtrefclk1_in(1'b0), .gtrefclkmonitor_out(NLW_inst_gtrefclkmonitor_out_UNCONNECTED[0]), .gtresetsel_in(1'b0), .gtrsvd_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtrxreset_in(gtrxreset_in), .gtrxresetsel_in(1'b0), .gtsouthrefclk00_in(gtsouthrefclk00_in), .gtsouthrefclk01_in(gtsouthrefclk01_in), .gtsouthrefclk0_in(1'b0), .gtsouthrefclk10_in(gtsouthrefclk10_in), .gtsouthrefclk11_in(gtsouthrefclk11_in), .gtsouthrefclk1_in(1'b0), .gttxreset_in(gttxreset_in), .gttxresetsel_in(1'b0), .gtwiz_buffbypass_rx_done_out(gtwiz_buffbypass_rx_done_out), .gtwiz_buffbypass_rx_error_out(gtwiz_buffbypass_rx_error_out), .gtwiz_buffbypass_rx_reset_in(gtwiz_buffbypass_rx_reset_in), .gtwiz_buffbypass_rx_start_user_in(gtwiz_buffbypass_rx_start_user_in), .gtwiz_buffbypass_tx_done_out(NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED[0]), .gtwiz_buffbypass_tx_error_out(NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED[0]), .gtwiz_buffbypass_tx_reset_in(1'b0), .gtwiz_buffbypass_tx_start_user_in(1'b0), .gtwiz_gthe3_cpll_cal_bufg_ce_in(1'b0), .gtwiz_gthe3_cpll_cal_cnt_tol_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_gthe3_cpll_cal_txoutclk_period_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_gthe4_cpll_cal_bufg_ce_in(1'b0), .gtwiz_gthe4_cpll_cal_cnt_tol_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_gthe4_cpll_cal_txoutclk_period_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_gtye4_cpll_cal_bufg_ce_in(1'b0), .gtwiz_gtye4_cpll_cal_cnt_tol_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_gtye4_cpll_cal_txoutclk_period_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .gtwiz_reset_all_in(1'b0), .gtwiz_reset_clk_freerun_in(1'b0), .gtwiz_reset_qpll0lock_in(1'b0), .gtwiz_reset_qpll0reset_out(NLW_inst_gtwiz_reset_qpll0reset_out_UNCONNECTED[0]), .gtwiz_reset_qpll1lock_in(1'b0), .gtwiz_reset_qpll1reset_out(NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED[0]), .gtwiz_reset_rx_cdr_stable_out(NLW_inst_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED[0]), .gtwiz_reset_rx_datapath_in(1'b0), .gtwiz_reset_rx_done_in(gtwiz_reset_rx_done_in), .gtwiz_reset_rx_done_out(NLW_inst_gtwiz_reset_rx_done_out_UNCONNECTED[0]), .gtwiz_reset_rx_pll_and_datapath_in(1'b0), .gtwiz_reset_tx_datapath_in(1'b0), .gtwiz_reset_tx_done_in(1'b0), .gtwiz_reset_tx_done_out(NLW_inst_gtwiz_reset_tx_done_out_UNCONNECTED[0]), .gtwiz_reset_tx_pll_and_datapath_in(1'b0), .gtwiz_userclk_rx_active_in(1'b0), .gtwiz_userclk_rx_active_out(NLW_inst_gtwiz_userclk_rx_active_out_UNCONNECTED[0]), .gtwiz_userclk_rx_reset_in(1'b0), .gtwiz_userclk_rx_srcclk_out(NLW_inst_gtwiz_userclk_rx_srcclk_out_UNCONNECTED[0]), .gtwiz_userclk_rx_usrclk2_out(NLW_inst_gtwiz_userclk_rx_usrclk2_out_UNCONNECTED[0]), .gtwiz_userclk_rx_usrclk_out(NLW_inst_gtwiz_userclk_rx_usrclk_out_UNCONNECTED[0]), .gtwiz_userclk_tx_active_in(1'b0), .gtwiz_userclk_tx_active_out(NLW_inst_gtwiz_userclk_tx_active_out_UNCONNECTED[0]), .gtwiz_userclk_tx_reset_in(1'b0), .gtwiz_userclk_tx_srcclk_out(NLW_inst_gtwiz_userclk_tx_srcclk_out_UNCONNECTED[0]), .gtwiz_userclk_tx_usrclk2_out(NLW_inst_gtwiz_userclk_tx_usrclk2_out_UNCONNECTED[0]), .gtwiz_userclk_tx_usrclk_out(NLW_inst_gtwiz_userclk_tx_usrclk_out_UNCONNECTED[0]), .gtwiz_userdata_rx_out(gtwiz_userdata_rx_out), .gtwiz_userdata_tx_in(gtwiz_userdata_tx_in), .gtyrxn_in(1'b0), .gtyrxp_in(1'b0), .gtytxn_out(NLW_inst_gtytxn_out_UNCONNECTED[0]), .gtytxp_out(NLW_inst_gtytxp_out_UNCONNECTED[0]), .incpctrl_in(1'b0), .loopback_in(loopback_in), .looprsvd_in(1'b0), .lpbkrxtxseren_in(1'b0), .lpbktxrxseren_in(1'b0), .pcieeqrxeqadaptdone_in(1'b0), .pcierategen3_out(NLW_inst_pcierategen3_out_UNCONNECTED[0]), .pcierateidle_out(NLW_inst_pcierateidle_out_UNCONNECTED[0]), .pcierateqpll0_in(1'b0), .pcierateqpll1_in(1'b0), .pcierateqpllpd_out(NLW_inst_pcierateqpllpd_out_UNCONNECTED[1:0]), .pcierateqpllreset_out(NLW_inst_pcierateqpllreset_out_UNCONNECTED[1:0]), .pcierstidle_in(1'b0), .pciersttxsyncstart_in(1'b0), .pciesynctxsyncdone_out(NLW_inst_pciesynctxsyncdone_out_UNCONNECTED[0]), .pcieusergen3rdy_out(NLW_inst_pcieusergen3rdy_out_UNCONNECTED[0]), .pcieuserphystatusrst_out(NLW_inst_pcieuserphystatusrst_out_UNCONNECTED[0]), .pcieuserratedone_in(1'b0), .pcieuserratestart_out(NLW_inst_pcieuserratestart_out_UNCONNECTED[0]), .pcsrsvdin2_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .pcsrsvdin_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .pcsrsvdout_out(NLW_inst_pcsrsvdout_out_UNCONNECTED[11:0]), .phystatus_out(NLW_inst_phystatus_out_UNCONNECTED[0]), .pinrsrvdas_out(NLW_inst_pinrsrvdas_out_UNCONNECTED[7:0]), .pmarsvd0_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .pmarsvd1_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .pmarsvdin_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .pmarsvdout0_out(NLW_inst_pmarsvdout0_out_UNCONNECTED[7:0]), .pmarsvdout1_out(NLW_inst_pmarsvdout1_out_UNCONNECTED[7:0]), .powerpresent_out(NLW_inst_powerpresent_out_UNCONNECTED[0]), .qpll0clk_in(1'b0), .qpll0clkrsvd0_in(1'b0), .qpll0clkrsvd1_in(1'b0), .qpll0fbclklost_out(NLW_inst_qpll0fbclklost_out_UNCONNECTED[0]), .qpll0fbdiv_in(1'b0), .qpll0freqlock_in(1'b0), .qpll0lock_out(qpll0lock_out), .qpll0lockdetclk_in(1'b0), .qpll0locken_in(1'b1), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll0pd_in(1'b0), .qpll0refclk_in(1'b0), .qpll0refclklost_out(NLW_inst_qpll0refclklost_out_UNCONNECTED[0]), .qpll0refclksel_in(qpll0refclksel_in), .qpll0reset_in(qpll0reset_in), .qpll1clk_in(1'b0), .qpll1clkrsvd0_in(1'b0), .qpll1clkrsvd1_in(1'b0), .qpll1fbclklost_out(NLW_inst_qpll1fbclklost_out_UNCONNECTED[0]), .qpll1fbdiv_in(1'b0), .qpll1freqlock_in(1'b0), .qpll1lock_out(qpll1lock_out), .qpll1lockdetclk_in(1'b0), .qpll1locken_in(1'b1), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .qpll1pd_in(1'b0), .qpll1refclk_in(1'b0), .qpll1refclklost_out(NLW_inst_qpll1refclklost_out_UNCONNECTED[0]), .qpll1refclksel_in(qpll1refclksel_in), .qpll1reset_in(qpll1reset_in), .qplldmonitor0_out(NLW_inst_qplldmonitor0_out_UNCONNECTED[7:0]), .qplldmonitor1_out(NLW_inst_qplldmonitor1_out_UNCONNECTED[7:0]), .qpllrsvd1_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .qpllrsvd2_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .qpllrsvd3_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .qpllrsvd4_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .rcalenb_in(1'b1), .refclkoutmonitor0_out(NLW_inst_refclkoutmonitor0_out_UNCONNECTED[0]), .refclkoutmonitor1_out(NLW_inst_refclkoutmonitor1_out_UNCONNECTED[0]), .resetexception_out(NLW_inst_resetexception_out_UNCONNECTED[0]), .resetovrd_in(1'b0), .rstclkentx_in(1'b0), .rx8b10ben_in(1'b0), .rxafecfoken_in(1'b0), .rxbufreset_in(1'b0), .rxbufstatus_out(NLW_inst_rxbufstatus_out_UNCONNECTED[2:0]), .rxbyteisaligned_out(NLW_inst_rxbyteisaligned_out_UNCONNECTED[0]), .rxbyterealign_out(NLW_inst_rxbyterealign_out_UNCONNECTED[0]), .rxcdrfreqreset_in(1'b0), .rxcdrhold_in(1'b0), .rxcdrlock_out(rxcdrlock_out), .rxcdrovrden_in(1'b0), .rxcdrphdone_out(NLW_inst_rxcdrphdone_out_UNCONNECTED[0]), .rxcdrreset_in(1'b0), .rxcdrresetrsv_in(1'b0), .rxchanbondseq_out(NLW_inst_rxchanbondseq_out_UNCONNECTED[0]), .rxchanisaligned_out(NLW_inst_rxchanisaligned_out_UNCONNECTED[0]), .rxchanrealign_out(NLW_inst_rxchanrealign_out_UNCONNECTED[0]), .rxchbonden_in(1'b0), .rxchbondi_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .rxchbondlevel_in({1'b0,1'b0,1'b0}), .rxchbondmaster_in(1'b0), .rxchbondo_out(NLW_inst_rxchbondo_out_UNCONNECTED[4:0]), .rxchbondslave_in(1'b0), .rxckcaldone_out(NLW_inst_rxckcaldone_out_UNCONNECTED[0]), .rxckcalreset_in(1'b0), .rxckcalstart_in(1'b0), .rxclkcorcnt_out(NLW_inst_rxclkcorcnt_out_UNCONNECTED[1:0]), .rxcominitdet_out(NLW_inst_rxcominitdet_out_UNCONNECTED[0]), .rxcommadet_out(NLW_inst_rxcommadet_out_UNCONNECTED[0]), .rxcommadeten_in(1'b1), .rxcomsasdet_out(NLW_inst_rxcomsasdet_out_UNCONNECTED[0]), .rxcomwakedet_out(NLW_inst_rxcomwakedet_out_UNCONNECTED[0]), .rxctrl0_out(NLW_inst_rxctrl0_out_UNCONNECTED[15:0]), .rxctrl1_out(NLW_inst_rxctrl1_out_UNCONNECTED[15:0]), .rxctrl2_out(NLW_inst_rxctrl2_out_UNCONNECTED[7:0]), .rxctrl3_out(NLW_inst_rxctrl3_out_UNCONNECTED[7:0]), .rxdata_out(NLW_inst_rxdata_out_UNCONNECTED[127:0]), .rxdataextendrsvd_out(NLW_inst_rxdataextendrsvd_out_UNCONNECTED[7:0]), .rxdatavalid_out(NLW_inst_rxdatavalid_out_UNCONNECTED[1:0]), .rxdccforcestart_in(1'b0), .rxdfeagcctrl_in({1'b0,1'b1}), .rxdfeagchold_in(1'b0), .rxdfeagcovrden_in(1'b0), .rxdfecfokfcnum_in(1'b0), .rxdfecfokfen_in(1'b0), .rxdfecfokfpulse_in(1'b0), .rxdfecfokhold_in(1'b0), .rxdfecfokovren_in(1'b0), .rxdfekhhold_in(1'b0), .rxdfekhovrden_in(1'b0), .rxdfelfhold_in(1'b0), .rxdfelfovrden_in(1'b0), .rxdfelpmreset_in(1'b0), .rxdfetap10hold_in(1'b0), .rxdfetap10ovrden_in(1'b0), .rxdfetap11hold_in(1'b0), .rxdfetap11ovrden_in(1'b0), .rxdfetap12hold_in(1'b0), .rxdfetap12ovrden_in(1'b0), .rxdfetap13hold_in(1'b0), .rxdfetap13ovrden_in(1'b0), .rxdfetap14hold_in(1'b0), .rxdfetap14ovrden_in(1'b0), .rxdfetap15hold_in(1'b0), .rxdfetap15ovrden_in(1'b0), .rxdfetap2hold_in(1'b0), .rxdfetap2ovrden_in(1'b0), .rxdfetap3hold_in(1'b0), .rxdfetap3ovrden_in(1'b0), .rxdfetap4hold_in(1'b0), .rxdfetap4ovrden_in(1'b0), .rxdfetap5hold_in(1'b0), .rxdfetap5ovrden_in(1'b0), .rxdfetap6hold_in(1'b0), .rxdfetap6ovrden_in(1'b0), .rxdfetap7hold_in(1'b0), .rxdfetap7ovrden_in(1'b0), .rxdfetap8hold_in(1'b0), .rxdfetap8ovrden_in(1'b0), .rxdfetap9hold_in(1'b0), .rxdfetap9ovrden_in(1'b0), .rxdfeuthold_in(1'b0), .rxdfeutovrden_in(1'b0), .rxdfevphold_in(1'b0), .rxdfevpovrden_in(1'b0), .rxdfevsen_in(1'b0), .rxdfexyden_in(1'b1), .rxdlybypass_in(1'b0), .rxdlyen_in(1'b0), .rxdlyovrden_in(1'b0), .rxdlysreset_in(1'b0), .rxdlysresetdone_out(NLW_inst_rxdlysresetdone_out_UNCONNECTED[0]), .rxelecidle_out(NLW_inst_rxelecidle_out_UNCONNECTED[0]), .rxelecidlemode_in({1'b1,1'b1}), .rxeqtraining_in(1'b0), .rxgearboxslip_in(1'b0), .rxheader_out(NLW_inst_rxheader_out_UNCONNECTED[5:0]), .rxheadervalid_out(NLW_inst_rxheadervalid_out_UNCONNECTED[1:0]), .rxlatclk_in(1'b0), .rxlfpstresetdet_out(NLW_inst_rxlfpstresetdet_out_UNCONNECTED[0]), .rxlfpsu2lpexitdet_out(NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED[0]), .rxlfpsu3wakedet_out(NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED[0]), .rxlpmen_in(1'b1), .rxlpmgchold_in(1'b0), .rxlpmgcovrden_in(1'b0), .rxlpmhfhold_in(1'b0), .rxlpmhfovrden_in(1'b0), .rxlpmlfhold_in(1'b0), .rxlpmlfklovrden_in(1'b0), .rxlpmoshold_in(1'b0), .rxlpmosovrden_in(1'b0), .rxmcommaalignen_in(1'b0), .rxmonitorout_out(NLW_inst_rxmonitorout_out_UNCONNECTED[6:0]), .rxmonitorsel_in({1'b0,1'b0}), .rxoobreset_in(1'b0), .rxoscalreset_in(1'b0), .rxoshold_in(1'b0), .rxosintcfg_in({1'b1,1'b1,1'b0,1'b1}), .rxosintdone_out(NLW_inst_rxosintdone_out_UNCONNECTED[0]), .rxosinten_in(1'b1), .rxosinthold_in(1'b0), .rxosintovrden_in(1'b0), .rxosintstarted_out(NLW_inst_rxosintstarted_out_UNCONNECTED[0]), .rxosintstrobe_in(1'b0), .rxosintstrobedone_out(NLW_inst_rxosintstrobedone_out_UNCONNECTED[0]), .rxosintstrobestarted_out(NLW_inst_rxosintstrobestarted_out_UNCONNECTED[0]), .rxosinttestovrden_in(1'b0), .rxosovrden_in(1'b0), .rxoutclk_out(rxoutclk_out), .rxoutclkfabric_out(NLW_inst_rxoutclkfabric_out_UNCONNECTED[0]), .rxoutclkpcs_out(NLW_inst_rxoutclkpcs_out_UNCONNECTED[0]), .rxoutclksel_in({1'b0,1'b1,1'b0}), .rxpcommaalignen_in(1'b0), .rxpcsreset_in(1'b0), .rxpd_in({1'b0,1'b0}), .rxphalign_in(1'b0), .rxphaligndone_out(NLW_inst_rxphaligndone_out_UNCONNECTED[0]), .rxphalignen_in(1'b0), .rxphalignerr_out(NLW_inst_rxphalignerr_out_UNCONNECTED[0]), .rxphdlypd_in(1'b0), .rxphdlyreset_in(1'b0), .rxphovrden_in(1'b0), .rxpllclksel_in({1'b1,1'b0}), .rxpmareset_in(1'b0), .rxpmaresetdone_out(rxpmaresetdone_out), .rxpolarity_in(rxpolarity_in), .rxprbscntreset_in(1'b0), .rxprbserr_out(NLW_inst_rxprbserr_out_UNCONNECTED[0]), .rxprbslocked_out(NLW_inst_rxprbslocked_out_UNCONNECTED[0]), .rxprbssel_in({1'b0,1'b0,1'b0,1'b0}), .rxprgdivresetdone_out(NLW_inst_rxprgdivresetdone_out_UNCONNECTED[0]), .rxprogdivreset_in(rxprogdivreset_in), .rxqpien_in(1'b0), .rxqpisenn_out(NLW_inst_rxqpisenn_out_UNCONNECTED[0]), .rxqpisenp_out(NLW_inst_rxqpisenp_out_UNCONNECTED[0]), .rxrate_in({1'b0,1'b0,1'b0}), .rxratedone_out(NLW_inst_rxratedone_out_UNCONNECTED[0]), .rxratemode_in(1'b0), .rxrecclk0_sel_out(NLW_inst_rxrecclk0_sel_out_UNCONNECTED[1:0]), .rxrecclk0sel_out(NLW_inst_rxrecclk0sel_out_UNCONNECTED[0]), .rxrecclk1_sel_out(NLW_inst_rxrecclk1_sel_out_UNCONNECTED[1:0]), .rxrecclk1sel_out(NLW_inst_rxrecclk1sel_out_UNCONNECTED[0]), .rxrecclkout_out(rxrecclkout_out), .rxresetdone_out(rxresetdone_out), .rxslide_in(rxslide_in), .rxsliderdy_out(NLW_inst_rxsliderdy_out_UNCONNECTED[0]), .rxslipdone_out(NLW_inst_rxslipdone_out_UNCONNECTED[0]), .rxslipoutclk_in(1'b0), .rxslipoutclkrdy_out(NLW_inst_rxslipoutclkrdy_out_UNCONNECTED[0]), .rxslippma_in(1'b0), .rxslippmardy_out(NLW_inst_rxslippmardy_out_UNCONNECTED[0]), .rxstartofseq_out(NLW_inst_rxstartofseq_out_UNCONNECTED[1:0]), .rxstatus_out(NLW_inst_rxstatus_out_UNCONNECTED[2:0]), .rxsyncallin_in(1'b0), .rxsyncdone_out(NLW_inst_rxsyncdone_out_UNCONNECTED[0]), .rxsyncin_in(1'b0), .rxsyncmode_in(1'b0), .rxsyncout_out(NLW_inst_rxsyncout_out_UNCONNECTED[0]), .rxsysclksel_in({1'b1,1'b1}), .rxtermination_in(1'b0), .rxuserrdy_in(rxuserrdy_in), .rxusrclk2_in(rxusrclk2_in), .rxusrclk_in(rxusrclk_in), .rxvalid_out(NLW_inst_rxvalid_out_UNCONNECTED[0]), .sdm0data_in(1'b0), .sdm0finalout_out(NLW_inst_sdm0finalout_out_UNCONNECTED[0]), .sdm0reset_in(1'b0), .sdm0testdata_out(NLW_inst_sdm0testdata_out_UNCONNECTED[0]), .sdm0toggle_in(1'b0), .sdm0width_in(1'b0), .sdm1data_in(1'b0), .sdm1finalout_out(NLW_inst_sdm1finalout_out_UNCONNECTED[0]), .sdm1reset_in(1'b0), .sdm1testdata_out(NLW_inst_sdm1testdata_out_UNCONNECTED[0]), .sdm1toggle_in(1'b0), .sdm1width_in(1'b0), .sigvalidclk_in(1'b0), .tcongpi_in(1'b0), .tcongpo_out(NLW_inst_tcongpo_out_UNCONNECTED[0]), .tconpowerup_in(1'b0), .tconreset_in(1'b0), .tconrsvdin1_in(1'b0), .tconrsvdout0_out(NLW_inst_tconrsvdout0_out_UNCONNECTED[0]), .tstin_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .tx8b10bbypass_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .tx8b10ben_in(1'b0), .txbufdiffctrl_in({1'b0,1'b0,1'b0}), .txbufstatus_out(NLW_inst_txbufstatus_out_UNCONNECTED[1:0]), .txcomfinish_out(NLW_inst_txcomfinish_out_UNCONNECTED[0]), .txcominit_in(1'b0), .txcomsas_in(1'b0), .txcomwake_in(1'b0), .txctrl0_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txctrl1_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txctrl2_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txdata_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txdataextendrsvd_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txdccdone_out(NLW_inst_txdccdone_out_UNCONNECTED[0]), .txdccforcestart_in(1'b0), .txdccreset_in(1'b0), .txdeemph_in(1'b0), .txdetectrx_in(1'b0), .txdiffctrl_in({1'b1,1'b1,1'b0,1'b0}), .txdiffpd_in(1'b0), .txdlybypass_in(1'b1), .txdlyen_in(1'b0), .txdlyhold_in(1'b0), .txdlyovrden_in(1'b0), .txdlysreset_in(1'b0), .txdlysresetdone_out(NLW_inst_txdlysresetdone_out_UNCONNECTED[0]), .txdlyupdown_in(1'b0), .txelecidle_in(1'b0), .txelforcestart_in(1'b0), .txheader_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txinhibit_in(1'b0), .txlatclk_in(1'b0), .txlfpstreset_in(1'b0), .txlfpsu2lpexit_in(1'b0), .txlfpsu3wake_in(1'b0), .txmaincursor_in({1'b1,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txmargin_in({1'b0,1'b0,1'b0}), .txmuxdcdexhold_in(1'b0), .txmuxdcdorwren_in(1'b0), .txoneszeros_in(1'b0), .txoutclk_out(txoutclk_out), .txoutclkfabric_out(NLW_inst_txoutclkfabric_out_UNCONNECTED[0]), .txoutclkpcs_out(NLW_inst_txoutclkpcs_out_UNCONNECTED[0]), .txoutclksel_in({1'b0,1'b1,1'b1}), .txpcsreset_in(1'b0), .txpd_in({1'b0,1'b0}), .txpdelecidlemode_in(1'b0), .txphalign_in(1'b0), .txphaligndone_out(NLW_inst_txphaligndone_out_UNCONNECTED[0]), .txphalignen_in(1'b0), .txphdlypd_in(1'b1), .txphdlyreset_in(1'b0), .txphdlytstclk_in(1'b0), .txphinit_in(1'b0), .txphinitdone_out(NLW_inst_txphinitdone_out_UNCONNECTED[0]), .txphovrden_in(1'b0), .txpippmen_in(1'b0), .txpippmovrden_in(1'b0), .txpippmpd_in(1'b0), .txpippmsel_in(1'b0), .txpippmstepsize_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .txpisopd_in(1'b0), .txpllclksel_in({1'b1,1'b1}), .txpmareset_in(1'b0), .txpmaresetdone_out(txpmaresetdone_out), .txpolarity_in(txpolarity_in), .txpostcursor_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .txpostcursorinv_in(1'b0), .txprbsforceerr_in(1'b0), .txprbssel_in({1'b0,1'b0,1'b0,1'b0}), .txprecursor_in({1'b0,1'b0,1'b0,1'b0,1'b0}), .txprecursorinv_in(1'b0), .txprgdivresetdone_out(NLW_inst_txprgdivresetdone_out_UNCONNECTED[0]), .txprogdivreset_in(txprogdivreset_in), .txqpibiasen_in(1'b0), .txqpisenn_out(NLW_inst_txqpisenn_out_UNCONNECTED[0]), .txqpisenp_out(NLW_inst_txqpisenp_out_UNCONNECTED[0]), .txqpistrongpdown_in(1'b0), .txqpiweakpup_in(1'b0), .txrate_in({1'b0,1'b0,1'b0}), .txratedone_out(NLW_inst_txratedone_out_UNCONNECTED[0]), .txratemode_in(1'b0), .txresetdone_out(txresetdone_out), .txsequence_in({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .txswing_in(1'b0), .txsyncallin_in(1'b0), .txsyncdone_out(NLW_inst_txsyncdone_out_UNCONNECTED[0]), .txsyncin_in(1'b0), .txsyncmode_in(1'b0), .txsyncout_out(NLW_inst_txsyncout_out_UNCONNECTED[0]), .txsysclksel_in({1'b1,1'b0}), .txuserrdy_in(txuserrdy_in), .txusrclk2_in(txusrclk2_in), .txusrclk_in(txusrclk_in), .ubcfgstreamen_in(1'b0), .ubdaddr_out(NLW_inst_ubdaddr_out_UNCONNECTED[0]), .ubden_out(NLW_inst_ubden_out_UNCONNECTED[0]), .ubdi_out(NLW_inst_ubdi_out_UNCONNECTED[0]), .ubdo_in(1'b0), .ubdrdy_in(1'b0), .ubdwe_out(NLW_inst_ubdwe_out_UNCONNECTED[0]), .ubenable_in(1'b0), .ubgpi_in(1'b0), .ubintr_in(1'b0), .ubiolmbrst_in(1'b0), .ubmbrst_in(1'b0), .ubmdmcapture_in(1'b0), .ubmdmdbgrst_in(1'b0), .ubmdmdbgupdate_in(1'b0), .ubmdmregen_in(1'b0), .ubmdmshift_in(1'b0), .ubmdmsysrst_in(1'b0), .ubmdmtck_in(1'b0), .ubmdmtdi_in(1'b0), .ubmdmtdo_out(NLW_inst_ubmdmtdo_out_UNCONNECTED[0]), .ubrsvdout_out(NLW_inst_ubrsvdout_out_UNCONNECTED[0]), .ubtxuart_out(NLW_inst_ubtxuart_out_UNCONNECTED[0])); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_bit_synchronizer" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_bit_synchronizer (\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] , rxphaligndone_in, rxusrclk2_in, Q); output \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] ; input [0:0]rxphaligndone_in; input [0:0]rxusrclk2_in; input [0:0]Q; wire \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] ; wire [0:0]Q; (* async_reg = "true" *) wire i_in_meta; wire i_in_out; (* async_reg = "true" *) wire i_in_sync1; (* async_reg = "true" *) wire i_in_sync2; (* async_reg = "true" *) wire i_in_sync3; wire [0:0]rxphaligndone_in; wire [0:0]rxusrclk2_in; LUT2 #( .INIT(4'h2)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_error_out_i_1 (.I0(Q), .I1(i_in_out), .O(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] )); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_meta_reg (.C(rxusrclk2_in), .CE(1'b1), .D(rxphaligndone_in), .Q(i_in_meta), .R(1'b0)); FDRE #( .INIT(1'b0)) i_in_out_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync3), .Q(i_in_out), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync1_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_meta), .Q(i_in_sync1), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync2_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync1), .Q(i_in_sync2), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync3_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync2), .Q(i_in_sync3), .R(1'b0)); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_bit_synchronizer" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_bit_synchronizer_0 (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int , D, rxsyncdone_in, rxusrclk2_in, Q, \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ); output \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ; output [1:0]D; input [0:0]rxsyncdone_in; input [0:0]rxusrclk2_in; input [1:0]Q; input \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ; wire [1:0]D; wire [1:0]Q; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ; (* async_reg = "true" *) wire i_in_meta; (* async_reg = "true" *) wire i_in_sync1; (* async_reg = "true" *) wire i_in_sync2; (* async_reg = "true" *) wire i_in_sync3; wire [0:0]rxsyncdone_in; wire [0:0]rxusrclk2_in; (* SOFT_HLUTNM = "soft_lutpair0" *) LUT4 #( .INIT(16'h0455)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx[0]_i_1 (.I0(Q[0]), .I1(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .I2(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .I3(Q[1]), .O(D[0])); (* SOFT_HLUTNM = "soft_lutpair0" *) LUT4 #( .INIT(16'h2622)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx[1]_i_2 (.I0(Q[0]), .I1(Q[1]), .I2(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .I3(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .O(D[1])); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_meta_reg (.C(rxusrclk2_in), .CE(1'b1), .D(rxsyncdone_in), .Q(i_in_meta), .R(1'b0)); FDRE #( .INIT(1'b0)) i_in_out_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync3), .Q(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync1_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_meta), .Q(i_in_sync1), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync2_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync1), .Q(i_in_sync2), .R(1'b0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDRE #( .INIT(1'b0)) i_in_sync3_reg (.C(rxusrclk2_in), .CE(1'b1), .D(i_in_sync2), .Q(i_in_sync3), .R(1'b0)); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_gthe3_channel" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_gthe3_channel (gthtxn_out, gthtxp_out, gtpowergood_out, rxcdrlock_out, rxoutclk_out, rxphaligndone_out, rxpmaresetdone_out, rxrecclkout_out, rxresetdone_out, rxsyncdone_out, txoutclk_out, txpmaresetdone_out, txresetdone_out, gtwiz_userdata_rx_out, gthrxn_in, gthrxp_in, gtrxreset_in, gttxreset_in, qpll0outclk_out, qpll0outrefclk_out, qpll1outclk_out, qpll1outrefclk_out, \gen_gtwizard_gthe3.rxdlysreset_int , rxpolarity_in, rxprogdivreset_in, rxslide_in, rxuserrdy_in, rxusrclk_in, rxusrclk2_in, txpolarity_in, txprogdivreset_in, txuserrdy_in, txusrclk_in, txusrclk2_in, gtwiz_userdata_tx_in, loopback_in); output [0:0]gthtxn_out; output [0:0]gthtxp_out; output [0:0]gtpowergood_out; output [0:0]rxcdrlock_out; output [0:0]rxoutclk_out; output [0:0]rxphaligndone_out; output [0:0]rxpmaresetdone_out; output [0:0]rxrecclkout_out; output [0:0]rxresetdone_out; output [0:0]rxsyncdone_out; output [0:0]txoutclk_out; output [0:0]txpmaresetdone_out; output [0:0]txresetdone_out; output [31:0]gtwiz_userdata_rx_out; input [0:0]gthrxn_in; input [0:0]gthrxp_in; input [0:0]gtrxreset_in; input [0:0]gttxreset_in; input [0:0]qpll0outclk_out; input [0:0]qpll0outrefclk_out; input [0:0]qpll1outclk_out; input [0:0]qpll1outrefclk_out; input \gen_gtwizard_gthe3.rxdlysreset_int ; input [0:0]rxpolarity_in; input [0:0]rxprogdivreset_in; input [0:0]rxslide_in; input [0:0]rxuserrdy_in; input [0:0]rxusrclk_in; input [0:0]rxusrclk2_in; input [0:0]txpolarity_in; input [0:0]txprogdivreset_in; input [0:0]txuserrdy_in; input [0:0]txusrclk_in; input [0:0]txusrclk2_in; input [31:0]gtwiz_userdata_tx_in; input [2:0]loopback_in; wire \gen_gtwizard_gthe3.rxdlysreset_int ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_0 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_1 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_10 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_100 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_101 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_102 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_103 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_104 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_105 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_106 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_107 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_108 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_109 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_11 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_110 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_111 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_112 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_113 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_114 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_115 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_116 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_117 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_118 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_119 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_12 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_120 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_121 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_122 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_123 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_124 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_125 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_126 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_127 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_128 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_129 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_13 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_130 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_131 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_132 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_133 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_134 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_135 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_136 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_137 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_138 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_139 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_14 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_140 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_141 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_142 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_143 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_144 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_145 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_146 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_147 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_148 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_149 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_15 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_150 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_151 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_152 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_153 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_154 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_155 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_156 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_157 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_158 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_159 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_16 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_160 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_161 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_162 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_163 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_164 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_165 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_166 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_167 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_168 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_169 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_17 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_170 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_171 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_172 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_173 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_174 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_175 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_176 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_177 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_18 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_2 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_20 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_21 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_210 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_211 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_212 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_213 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_214 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_215 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_216 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_217 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_218 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_219 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_22 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_220 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_221 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_222 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_223 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_224 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_225 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_226 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_227 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_228 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_229 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_23 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_230 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_231 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_232 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_233 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_234 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_235 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_236 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_237 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_238 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_239 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_24 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_240 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_241 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_242 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_243 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_244 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_245 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_246 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_247 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_248 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_249 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_25 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_250 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_251 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_252 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_253 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_254 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_255 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_256 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_257 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_258 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_259 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_26 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_260 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_261 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_262 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_263 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_264 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_265 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_266 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_267 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_268 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_269 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_27 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_270 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_271 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_272 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_273 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_274 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_275 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_276 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_277 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_278 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_279 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_28 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_280 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_281 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_282 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_283 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_284 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_285 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_286 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_287 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_288 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_289 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_29 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_290 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_291 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_292 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_293 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_294 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_295 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_296 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_297 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_298 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_299 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_3 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_30 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_300 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_301 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_302 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_303 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_304 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_305 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_306 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_307 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_308 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_309 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_31 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_310 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_311 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_312 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_313 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_314 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_315 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_316 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_317 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_318 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_319 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_32 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_320 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_321 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_322 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_323 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_324 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_325 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_326 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_327 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_328 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_329 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_33 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_330 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_331 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_332 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_333 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_334 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_335 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_336 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_337 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_338 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_339 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_340 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_341 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_342 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_343 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_344 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_345 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_346 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_347 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_348 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_349 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_35 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_350 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_351 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_352 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_353 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_354 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_355 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_356 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_357 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_358 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_359 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_36 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_360 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_361 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_362 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_363 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_364 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_365 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_38 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_4 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_40 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_41 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_42 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_43 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_44 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_45 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_48 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_49 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_50 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_51 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_53 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_54 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_55 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_56 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_58 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_59 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_60 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_61 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_63 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_64 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_65 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_66 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_68 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_69 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_70 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_71 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_72 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_73 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_74 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_75 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_76 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_77 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_78 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_79 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_8 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_80 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_81 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_82 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_83 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_84 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_85 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_86 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_87 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_88 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_89 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_9 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_90 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_91 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_92 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_93 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_94 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_95 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_96 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_97 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_98 ; wire \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_99 ; wire [0:0]gthrxn_in; wire [0:0]gthrxp_in; wire [0:0]gthtxn_out; wire [0:0]gthtxp_out; wire [0:0]gtpowergood_out; wire [0:0]gtrxreset_in; wire [0:0]gttxreset_in; wire [31:0]gtwiz_userdata_rx_out; wire [31:0]gtwiz_userdata_tx_in; wire [2:0]loopback_in; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [0:0]rxcdrlock_out; wire [0:0]rxoutclk_out; wire [0:0]rxphaligndone_out; wire [0:0]rxpmaresetdone_out; wire [0:0]rxpolarity_in; wire [0:0]rxprogdivreset_in; wire [0:0]rxrecclkout_out; wire [0:0]rxresetdone_out; wire [0:0]rxslide_in; wire [0:0]rxsyncdone_out; wire [0:0]rxuserrdy_in; wire [0:0]rxusrclk2_in; wire [0:0]rxusrclk_in; wire [0:0]txoutclk_out; wire [0:0]txpmaresetdone_out; wire [0:0]txpolarity_in; wire [0:0]txprogdivreset_in; wire [0:0]txresetdone_out; wire [0:0]txuserrdy_in; wire [0:0]txusrclk2_in; wire [0:0]txusrclk_in; (* BOX_TYPE = "PRIMITIVE" *) GTHE3_CHANNEL #( .ACJTAG_DEBUG_MODE(1'b0), .ACJTAG_MODE(1'b0), .ACJTAG_RESET(1'b0), .ADAPT_CFG0(16'hF800), .ADAPT_CFG1(16'h0000), .ALIGN_COMMA_DOUBLE("FALSE"), .ALIGN_COMMA_ENABLE(10'b0000000000), .ALIGN_COMMA_WORD(4), .ALIGN_MCOMMA_DET("FALSE"), .ALIGN_MCOMMA_VALUE(10'b1010000011), .ALIGN_PCOMMA_DET("FALSE"), .ALIGN_PCOMMA_VALUE(10'b0101111100), .A_RXOSCALRESET(1'b0), .A_RXPROGDIVRESET(1'b0), .A_TXPROGDIVRESET(1'b0), .CBCC_DATA_SOURCE_SEL("ENCODED"), .CDR_SWAP_MODE_EN(1'b0), .CHAN_BOND_KEEP_ALIGN("FALSE"), .CHAN_BOND_MAX_SKEW(1), .CHAN_BOND_SEQ_1_1(10'b0000000000), .CHAN_BOND_SEQ_1_2(10'b0000000000), .CHAN_BOND_SEQ_1_3(10'b0000000000), .CHAN_BOND_SEQ_1_4(10'b0000000000), .CHAN_BOND_SEQ_1_ENABLE(4'b1111), .CHAN_BOND_SEQ_2_1(10'b0000000000), .CHAN_BOND_SEQ_2_2(10'b0000000000), .CHAN_BOND_SEQ_2_3(10'b0000000000), .CHAN_BOND_SEQ_2_4(10'b0000000000), .CHAN_BOND_SEQ_2_ENABLE(4'b1111), .CHAN_BOND_SEQ_2_USE("FALSE"), .CHAN_BOND_SEQ_LEN(1), .CLK_CORRECT_USE("FALSE"), .CLK_COR_KEEP_IDLE("FALSE"), .CLK_COR_MAX_LAT(20), .CLK_COR_MIN_LAT(18), .CLK_COR_PRECEDENCE("TRUE"), .CLK_COR_REPEAT_WAIT(0), .CLK_COR_SEQ_1_1(10'b0000000000), .CLK_COR_SEQ_1_2(10'b0000000000), .CLK_COR_SEQ_1_3(10'b0000000000), .CLK_COR_SEQ_1_4(10'b0000000000), .CLK_COR_SEQ_1_ENABLE(4'b1111), .CLK_COR_SEQ_2_1(10'b0000000000), .CLK_COR_SEQ_2_2(10'b0000000000), .CLK_COR_SEQ_2_3(10'b0000000000), .CLK_COR_SEQ_2_4(10'b0000000000), .CLK_COR_SEQ_2_ENABLE(4'b1111), .CLK_COR_SEQ_2_USE("FALSE"), .CLK_COR_SEQ_LEN(1), .CPLL_CFG0(16'h67F8), .CPLL_CFG1(16'hA4AC), .CPLL_CFG2(16'h0007), .CPLL_CFG3(6'h00), .CPLL_FBDIV(2), .CPLL_FBDIV_45(5), .CPLL_INIT_CFG0(16'h02B2), .CPLL_INIT_CFG1(8'h00), .CPLL_LOCK_CFG(16'h01E8), .CPLL_REFCLK_DIV(1), .DDI_CTRL(2'b00), .DDI_REALIGN_WAIT(15), .DEC_MCOMMA_DETECT("FALSE"), .DEC_PCOMMA_DETECT("FALSE"), .DEC_VALID_COMMA_ONLY("FALSE"), .DFE_D_X_REL_POS(1'b0), .DFE_VCM_COMP_EN(1'b0), .DMONITOR_CFG0(10'h000), .DMONITOR_CFG1(8'h00), .ES_CLK_PHASE_SEL(1'b0), .ES_CONTROL(6'b000000), .ES_ERRDET_EN("FALSE"), .ES_EYE_SCAN_EN("FALSE"), .ES_HORZ_OFFSET(12'h000), .ES_PMA_CFG(10'b0000000000), .ES_PRESCALE(5'b00000), .ES_QUALIFIER0(16'h0000), .ES_QUALIFIER1(16'h0000), .ES_QUALIFIER2(16'h0000), .ES_QUALIFIER3(16'h0000), .ES_QUALIFIER4(16'h0000), .ES_QUAL_MASK0(16'h0000), .ES_QUAL_MASK1(16'h0000), .ES_QUAL_MASK2(16'h0000), .ES_QUAL_MASK3(16'h0000), .ES_QUAL_MASK4(16'h0000), .ES_SDATA_MASK0(16'h0000), .ES_SDATA_MASK1(16'h0000), .ES_SDATA_MASK2(16'h0000), .ES_SDATA_MASK3(16'h0000), .ES_SDATA_MASK4(16'h0000), .EVODD_PHI_CFG(11'b00000000000), .EYE_SCAN_SWAP_EN(1'b0), .FTS_DESKEW_SEQ_ENABLE(4'b1111), .FTS_LANE_DESKEW_CFG(4'b1111), .FTS_LANE_DESKEW_EN("FALSE"), .GEARBOX_MODE(5'b00000), .GM_BIAS_SELECT(1'b0), .LOCAL_MASTER(1'b1), .OOBDIVCTL(2'b00), .OOB_PWRUP(1'b0), .PCI3_AUTO_REALIGN("OVR_1K_BLK"), .PCI3_PIPE_RX_ELECIDLE(1'b0), .PCI3_RX_ASYNC_EBUF_BYPASS(2'b00), .PCI3_RX_ELECIDLE_EI2_ENABLE(1'b0), .PCI3_RX_ELECIDLE_H2L_COUNT(6'b000000), .PCI3_RX_ELECIDLE_H2L_DISABLE(3'b000), .PCI3_RX_ELECIDLE_HI_COUNT(6'b000000), .PCI3_RX_ELECIDLE_LP4_DISABLE(1'b0), .PCI3_RX_FIFO_DISABLE(1'b0), .PCIE_BUFG_DIV_CTRL(16'h1000), .PCIE_RXPCS_CFG_GEN3(16'h02A4), .PCIE_RXPMA_CFG(16'h000A), .PCIE_TXPCS_CFG_GEN3(16'h24A4), .PCIE_TXPMA_CFG(16'h000A), .PCS_PCIE_EN("FALSE"), .PCS_RSVD0(16'b0000000000000000), .PCS_RSVD1(3'b000), .PD_TRANS_TIME_FROM_P2(12'h03C), .PD_TRANS_TIME_NONE_P2(8'h19), .PD_TRANS_TIME_TO_P2(8'h64), .PLL_SEL_MODE_GEN12(2'h3), .PLL_SEL_MODE_GEN3(2'h3), .PMA_RSV1(16'hF000), .PROCESS_PAR(3'b010), .RATE_SW_USE_DRP(1'b1), .RESET_POWERSAVE_DISABLE(1'b0), .RXBUFRESET_TIME(5'b00011), .RXBUF_ADDR_MODE("FAST"), .RXBUF_EIDLE_HI_CNT(4'b1000), .RXBUF_EIDLE_LO_CNT(4'b0000), .RXBUF_EN("FALSE"), .RXBUF_RESET_ON_CB_CHANGE("TRUE"), .RXBUF_RESET_ON_COMMAALIGN("FALSE"), .RXBUF_RESET_ON_EIDLE("FALSE"), .RXBUF_RESET_ON_RATE_CHANGE("TRUE"), .RXBUF_THRESH_OVFLW(0), .RXBUF_THRESH_OVRD("FALSE"), .RXBUF_THRESH_UNDFLW(0), .RXCDRFREQRESET_TIME(5'b00001), .RXCDRPHRESET_TIME(5'b00001), .RXCDR_CFG0(16'h0000), .RXCDR_CFG0_GEN3(16'h0000), .RXCDR_CFG1(16'h0000), .RXCDR_CFG1_GEN3(16'h0000), .RXCDR_CFG2(16'h07E6), .RXCDR_CFG2_GEN3(16'h07E6), .RXCDR_CFG3(16'h0000), .RXCDR_CFG3_GEN3(16'h0000), .RXCDR_CFG4(16'h0000), .RXCDR_CFG4_GEN3(16'h0000), .RXCDR_CFG5(16'h0000), .RXCDR_CFG5_GEN3(16'h0000), .RXCDR_FR_RESET_ON_EIDLE(1'b0), .RXCDR_HOLD_DURING_EIDLE(1'b0), .RXCDR_LOCK_CFG0(16'h4480), .RXCDR_LOCK_CFG1(16'h5FFF), .RXCDR_LOCK_CFG2(16'h77C3), .RXCDR_PH_RESET_ON_EIDLE(1'b0), .RXCFOK_CFG0(16'h4000), .RXCFOK_CFG1(16'h0065), .RXCFOK_CFG2(16'h002E), .RXDFELPMRESET_TIME(7'b0001111), .RXDFELPM_KL_CFG0(16'h0000), .RXDFELPM_KL_CFG1(16'h0032), .RXDFELPM_KL_CFG2(16'h0000), .RXDFE_CFG0(16'h0A00), .RXDFE_CFG1(16'h0000), .RXDFE_GC_CFG0(16'h0000), .RXDFE_GC_CFG1(16'h7870), .RXDFE_GC_CFG2(16'h0000), .RXDFE_H2_CFG0(16'h0000), .RXDFE_H2_CFG1(16'h0000), .RXDFE_H3_CFG0(16'h4000), .RXDFE_H3_CFG1(16'h0000), .RXDFE_H4_CFG0(16'h2000), .RXDFE_H4_CFG1(16'h0003), .RXDFE_H5_CFG0(16'h2000), .RXDFE_H5_CFG1(16'h0003), .RXDFE_H6_CFG0(16'h2000), .RXDFE_H6_CFG1(16'h0000), .RXDFE_H7_CFG0(16'h2000), .RXDFE_H7_CFG1(16'h0000), .RXDFE_H8_CFG0(16'h2000), .RXDFE_H8_CFG1(16'h0000), .RXDFE_H9_CFG0(16'h2000), .RXDFE_H9_CFG1(16'h0000), .RXDFE_HA_CFG0(16'h2000), .RXDFE_HA_CFG1(16'h0000), .RXDFE_HB_CFG0(16'h2000), .RXDFE_HB_CFG1(16'h0000), .RXDFE_HC_CFG0(16'h0000), .RXDFE_HC_CFG1(16'h0000), .RXDFE_HD_CFG0(16'h0000), .RXDFE_HD_CFG1(16'h0000), .RXDFE_HE_CFG0(16'h0000), .RXDFE_HE_CFG1(16'h0000), .RXDFE_HF_CFG0(16'h0000), .RXDFE_HF_CFG1(16'h0000), .RXDFE_OS_CFG0(16'h8000), .RXDFE_OS_CFG1(16'h0000), .RXDFE_UT_CFG0(16'h8000), .RXDFE_UT_CFG1(16'h0003), .RXDFE_VP_CFG0(16'hAA00), .RXDFE_VP_CFG1(16'h0033), .RXDLY_CFG(16'h001F), .RXDLY_LCFG(16'h0030), .RXELECIDLE_CFG("Sigcfg_4"), .RXGBOX_FIFO_INIT_RD_ADDR(4), .RXGEARBOX_EN("FALSE"), .RXISCANRESET_TIME(5'b00001), .RXLPM_CFG(16'h0000), .RXLPM_GC_CFG(16'h1000), .RXLPM_KH_CFG0(16'h0000), .RXLPM_KH_CFG1(16'h0002), .RXLPM_OS_CFG0(16'h8000), .RXLPM_OS_CFG1(16'h0002), .RXOOB_CFG(9'b000000110), .RXOOB_CLK_CFG("PMA"), .RXOSCALRESET_TIME(5'b00011), .RXOUT_DIV(1), .RXPCSRESET_TIME(5'b00011), .RXPHBEACON_CFG(16'h0000), .RXPHDLY_CFG(16'h2020), .RXPHSAMP_CFG(16'h2100), .RXPHSLIP_CFG(16'h6622), .RXPH_MONITOR_SEL(5'b00000), .RXPI_CFG0(2'b01), .RXPI_CFG1(2'b01), .RXPI_CFG2(2'b01), .RXPI_CFG3(2'b01), .RXPI_CFG4(1'b0), .RXPI_CFG5(1'b1), .RXPI_CFG6(3'b011), .RXPI_LPM(1'b0), .RXPI_VREFSEL(1'b0), .RXPMACLK_SEL("DATA"), .RXPMARESET_TIME(5'b00011), .RXPRBS_ERR_LOOPBACK(1'b0), .RXPRBS_LINKACQ_CNT(15), .RXSLIDE_AUTO_WAIT(7), .RXSLIDE_MODE("PCS"), .RXSYNC_MULTILANE(1'b0), .RXSYNC_OVRD(1'b0), .RXSYNC_SKIP_DA(1'b0), .RX_AFE_CM_EN(1'b0), .RX_BIAS_CFG0(16'h0AB4), .RX_BUFFER_CFG(6'b000000), .RX_CAPFF_SARC_ENB(1'b0), .RX_CLK25_DIV(13), .RX_CLKMUX_EN(1'b1), .RX_CLK_SLIP_OVRD(5'b00000), .RX_CM_BUF_CFG(4'b1010), .RX_CM_BUF_PD(1'b0), .RX_CM_SEL(2'b11), .RX_CM_TRIM(4'b1010), .RX_CTLE3_LPF(8'b00000001), .RX_DATA_WIDTH(32), .RX_DDI_SEL(6'b000000), .RX_DEFER_RESET_BUF_EN("TRUE"), .RX_DFELPM_CFG0(4'b0110), .RX_DFELPM_CFG1(1'b1), .RX_DFELPM_KLKH_AGC_STUP_EN(1'b1), .RX_DFE_AGC_CFG0(2'b10), .RX_DFE_AGC_CFG1(3'b000), .RX_DFE_KL_LPM_KH_CFG0(2'b01), .RX_DFE_KL_LPM_KH_CFG1(3'b000), .RX_DFE_KL_LPM_KL_CFG0(2'b01), .RX_DFE_KL_LPM_KL_CFG1(3'b000), .RX_DFE_LPM_HOLD_DURING_EIDLE(1'b0), .RX_DISPERR_SEQ_MATCH("TRUE"), .RX_DIVRESET_TIME(5'b00001), .RX_EN_HI_LR(1'b1), .RX_EYESCAN_VS_CODE(7'b0000000), .RX_EYESCAN_VS_NEG_DIR(1'b0), .RX_EYESCAN_VS_RANGE(2'b00), .RX_EYESCAN_VS_UT_SIGN(1'b0), .RX_FABINT_USRCLK_FLOP(1'b0), .RX_INT_DATAWIDTH(1), .RX_PMA_POWER_SAVE(1'b0), .RX_PROGDIV_CFG(16.000000), .RX_SAMPLE_PERIOD(3'b111), .RX_SIG_VALID_DLY(11), .RX_SUM_DFETAPREP_EN(1'b0), .RX_SUM_IREF_TUNE(4'b1100), .RX_SUM_RES_CTRL(2'b11), .RX_SUM_VCMTUNE(4'b0000), .RX_SUM_VCM_OVWR(1'b0), .RX_SUM_VREF_TUNE(3'b000), .RX_TUNE_AFE_OS(2'b10), .RX_WIDEMODE_CDR(1'b1), .RX_XCLK_SEL("RXUSR"), .SAS_MAX_COM(64), .SAS_MIN_COM(36), .SATA_BURST_SEQ_LEN(4'b1110), .SATA_BURST_VAL(3'b100), .SATA_CPLL_CFG("VCO_3000MHZ"), .SATA_EIDLE_VAL(3'b100), .SATA_MAX_BURST(8), .SATA_MAX_INIT(21), .SATA_MAX_WAKE(7), .SATA_MIN_BURST(4), .SATA_MIN_INIT(12), .SATA_MIN_WAKE(4), .SHOW_REALIGN_COMMA("FALSE"), .SIM_MODE("FAST"), .SIM_RECEIVER_DETECT_PASS("TRUE"), .SIM_RESET_SPEEDUP("TRUE"), .SIM_TX_EIDLE_DRIVE_LEVEL(1'b0), .SIM_VERSION(2), .TAPDLY_SET_TX(2'h0), .TEMPERATUR_PAR(4'b0010), .TERM_RCAL_CFG(15'b100001000010000), .TERM_RCAL_OVRD(3'b000), .TRANS_TIME_RATE(8'h0E), .TST_RSV0(8'h00), .TST_RSV1(8'h00), .TXBUF_EN("TRUE"), .TXBUF_RESET_ON_RATE_CHANGE("TRUE"), .TXDLY_CFG(16'h0009), .TXDLY_LCFG(16'h0050), .TXDRVBIAS_N(4'b1010), .TXDRVBIAS_P(4'b1010), .TXFIFO_ADDR_CFG("LOW"), .TXGBOX_FIFO_INIT_RD_ADDR(4), .TXGEARBOX_EN("FALSE"), .TXOUT_DIV(1), .TXPCSRESET_TIME(5'b00011), .TXPHDLY_CFG0(16'h2020), .TXPHDLY_CFG1(16'h0075), .TXPH_CFG(16'h0980), .TXPH_MONITOR_SEL(5'b00000), .TXPI_CFG0(2'b01), .TXPI_CFG1(2'b01), .TXPI_CFG2(2'b01), .TXPI_CFG3(1'b0), .TXPI_CFG4(1'b1), .TXPI_CFG5(3'b011), .TXPI_GRAY_SEL(1'b0), .TXPI_INVSTROBE_SEL(1'b1), .TXPI_LPM(1'b0), .TXPI_PPMCLK_SEL("TXUSRCLK2"), .TXPI_PPM_CFG(8'b00000000), .TXPI_SYNFREQ_PPM(3'b001), .TXPI_VREFSEL(1'b0), .TXPMARESET_TIME(5'b00011), .TXSYNC_MULTILANE(1'b0), .TXSYNC_OVRD(1'b0), .TXSYNC_SKIP_DA(1'b0), .TX_CLK25_DIV(13), .TX_CLKMUX_EN(1'b1), .TX_DATA_WIDTH(32), .TX_DCD_CFG(6'b000010), .TX_DCD_EN(1'b0), .TX_DEEMPH0(6'b000000), .TX_DEEMPH1(6'b000000), .TX_DIVRESET_TIME(5'b00001), .TX_DRIVE_MODE("DIRECT"), .TX_EIDLE_ASSERT_DELAY(3'b100), .TX_EIDLE_DEASSERT_DELAY(3'b011), .TX_EML_PHI_TUNE(1'b0), .TX_FABINT_USRCLK_FLOP(1'b0), .TX_IDLE_DATA_ZERO(1'b0), .TX_INT_DATAWIDTH(1), .TX_LOOPBACK_DRIVE_HIZ("FALSE"), .TX_MAINCURSOR_SEL(1'b0), .TX_MARGIN_FULL_0(7'b1001111), .TX_MARGIN_FULL_1(7'b1001110), .TX_MARGIN_FULL_2(7'b1001100), .TX_MARGIN_FULL_3(7'b1001010), .TX_MARGIN_FULL_4(7'b1001000), .TX_MARGIN_LOW_0(7'b1000110), .TX_MARGIN_LOW_1(7'b1000101), .TX_MARGIN_LOW_2(7'b1000011), .TX_MARGIN_LOW_3(7'b1000010), .TX_MARGIN_LOW_4(7'b1000000), .TX_MODE_SEL(3'b000), .TX_PMADATA_OPT(1'b0), .TX_PMA_POWER_SAVE(1'b0), .TX_PROGCLK_SEL("PREPI"), .TX_PROGDIV_CFG(0.000000), .TX_QPI_STATUS_EN(1'b0), .TX_RXDETECT_CFG(14'h0032), .TX_RXDETECT_REF(3'b100), .TX_SAMPLE_PERIOD(3'b111), .TX_SARC_LPBK_ENB(1'b0), .TX_XCLK_SEL("TXOUT"), .USE_PCS_CLK_PHASE_SEL(1'b0), .WB_MODE(2'b00)) \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST (.BUFGTCE({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_289 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_290 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_291 }), .BUFGTCEMASK({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_292 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_293 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_294 }), .BUFGTDIV({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_357 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_358 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_359 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_360 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_361 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_362 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_363 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_364 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_365 }), .BUFGTRESET({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_295 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_296 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_297 }), .BUFGTRSTMASK({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_298 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_299 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_300 }), .CFGRESET(1'b0), .CLKRSVD0(1'b0), .CLKRSVD1(1'b0), .CPLLFBCLKLOST(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_0 ), .CPLLLOCK(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_1 ), .CPLLLOCKDETCLK(1'b0), .CPLLLOCKEN(1'b0), .CPLLPD(1'b1), .CPLLREFCLKLOST(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_2 ), .CPLLREFCLKSEL({1'b0,1'b0,1'b1}), .CPLLRESET(1'b1), .DMONFIFORESET(1'b0), .DMONITORCLK(1'b0), .DMONITOROUT({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_258 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_259 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_260 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_261 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_262 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_263 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_264 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_265 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_266 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_267 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_268 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_269 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_270 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_271 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_272 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_273 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_274 }), .DRPADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .DRPCLK(1'b0), .DRPDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .DRPDO({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_210 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_211 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_212 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_213 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_214 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_215 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_216 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_217 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_218 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_219 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_220 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_221 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_222 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_223 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_224 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_225 }), .DRPEN(1'b0), .DRPRDY(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_3 ), .DRPWE(1'b0), .EVODDPHICALDONE(1'b0), .EVODDPHICALSTART(1'b0), .EVODDPHIDRDEN(1'b0), .EVODDPHIDWREN(1'b0), .EVODDPHIXRDEN(1'b0), .EVODDPHIXWREN(1'b0), .EYESCANDATAERROR(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_4 ), .EYESCANMODE(1'b0), .EYESCANRESET(1'b0), .EYESCANTRIGGER(1'b0), .GTGREFCLK(1'b0), .GTHRXN(gthrxn_in), .GTHRXP(gthrxp_in), .GTHTXN(gthtxn_out), .GTHTXP(gthtxp_out), .GTNORTHREFCLK0(1'b0), .GTNORTHREFCLK1(1'b0), .GTPOWERGOOD(gtpowergood_out), .GTREFCLK0(1'b0), .GTREFCLK1(1'b0), .GTREFCLKMONITOR(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_8 ), .GTRESETSEL(1'b0), .GTRSVD({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .GTRXRESET(gtrxreset_in), .GTSOUTHREFCLK0(1'b0), .GTSOUTHREFCLK1(1'b0), .GTTXRESET(gttxreset_in), .LOOPBACK(loopback_in), .LPBKRXTXSEREN(1'b0), .LPBKTXRXSEREN(1'b0), .PCIEEQRXEQADAPTDONE(1'b0), .PCIERATEGEN3(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_9 ), .PCIERATEIDLE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_10 ), .PCIERATEQPLLPD({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_275 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_276 }), .PCIERATEQPLLRESET({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_277 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_278 }), .PCIERSTIDLE(1'b0), .PCIERSTTXSYNCSTART(1'b0), .PCIESYNCTXSYNCDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_11 ), .PCIEUSERGEN3RDY(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_12 ), .PCIEUSERPHYSTATUSRST(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_13 ), .PCIEUSERRATEDONE(1'b0), .PCIEUSERRATESTART(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_14 ), .PCSRSVDIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .PCSRSVDIN2({1'b0,1'b0,1'b0,1'b0,1'b0}), .PCSRSVDOUT({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_70 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_71 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_72 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_73 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_74 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_75 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_76 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_77 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_78 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_79 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_80 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_81 }), .PHYSTATUS(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_15 ), .PINRSRVDAS({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_325 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_326 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_327 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_328 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_329 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_330 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_331 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_332 }), .PMARSVDIN({1'b0,1'b0,1'b0,1'b0,1'b0}), .QPLL0CLK(qpll0outclk_out), .QPLL0REFCLK(qpll0outrefclk_out), .QPLL1CLK(qpll1outclk_out), .QPLL1REFCLK(qpll1outrefclk_out), .RESETEXCEPTION(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_16 ), .RESETOVRD(1'b0), .RSTCLKENTX(1'b0), .RX8B10BEN(1'b0), .RXBUFRESET(1'b0), .RXBUFSTATUS({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_301 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_302 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_303 }), .RXBYTEISALIGNED(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_17 ), .RXBYTEREALIGN(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_18 ), .RXCDRFREQRESET(1'b0), .RXCDRHOLD(1'b0), .RXCDRLOCK(rxcdrlock_out), .RXCDROVRDEN(1'b0), .RXCDRPHDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_20 ), .RXCDRRESET(1'b0), .RXCDRRESETRSV(1'b0), .RXCHANBONDSEQ(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_21 ), .RXCHANISALIGNED(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_22 ), .RXCHANREALIGN(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_23 ), .RXCHBONDEN(1'b0), .RXCHBONDI({1'b0,1'b0,1'b0,1'b0,1'b0}), .RXCHBONDLEVEL({1'b0,1'b0,1'b0}), .RXCHBONDMASTER(1'b0), .RXCHBONDO({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_307 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_308 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_309 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_310 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_311 }), .RXCHBONDSLAVE(1'b0), .RXCLKCORCNT({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_279 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_280 }), .RXCOMINITDET(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_24 ), .RXCOMMADET(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_25 ), .RXCOMMADETEN(1'b1), .RXCOMSASDET(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_26 ), .RXCOMWAKEDET(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_27 ), .RXCTRL0({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_226 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_227 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_228 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_229 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_230 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_231 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_232 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_233 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_234 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_235 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_236 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_237 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_238 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_239 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_240 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_241 }), .RXCTRL1({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_242 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_243 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_244 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_245 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_246 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_247 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_248 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_249 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_250 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_251 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_252 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_253 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_254 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_255 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_256 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_257 }), .RXCTRL2({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_333 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_334 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_335 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_336 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_337 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_338 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_339 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_340 }), .RXCTRL3({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_341 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_342 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_343 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_344 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_345 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_346 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_347 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_348 }), .RXDATA({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_82 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_83 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_84 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_85 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_86 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_87 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_88 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_89 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_90 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_91 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_92 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_93 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_94 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_95 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_96 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_97 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_98 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_99 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_100 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_101 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_102 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_103 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_104 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_105 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_106 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_107 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_108 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_109 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_110 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_111 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_112 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_113 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_114 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_115 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_116 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_117 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_118 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_119 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_120 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_121 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_122 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_123 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_124 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_125 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_126 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_127 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_128 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_129 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_130 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_131 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_132 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_133 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_134 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_135 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_136 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_137 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_138 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_139 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_140 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_141 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_142 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_143 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_144 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_145 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_146 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_147 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_148 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_149 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_150 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_151 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_152 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_153 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_154 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_155 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_156 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_157 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_158 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_159 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_160 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_161 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_162 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_163 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_164 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_165 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_166 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_167 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_168 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_169 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_170 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_171 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_172 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_173 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_174 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_175 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_176 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_177 ,gtwiz_userdata_rx_out}), .RXDATAEXTENDRSVD({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_349 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_350 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_351 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_352 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_353 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_354 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_355 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_356 }), .RXDATAVALID({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_281 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_282 }), .RXDFEAGCCTRL({1'b0,1'b1}), .RXDFEAGCHOLD(1'b0), .RXDFEAGCOVRDEN(1'b0), .RXDFELFHOLD(1'b0), .RXDFELFOVRDEN(1'b0), .RXDFELPMRESET(1'b0), .RXDFETAP10HOLD(1'b0), .RXDFETAP10OVRDEN(1'b0), .RXDFETAP11HOLD(1'b0), .RXDFETAP11OVRDEN(1'b0), .RXDFETAP12HOLD(1'b0), .RXDFETAP12OVRDEN(1'b0), .RXDFETAP13HOLD(1'b0), .RXDFETAP13OVRDEN(1'b0), .RXDFETAP14HOLD(1'b0), .RXDFETAP14OVRDEN(1'b0), .RXDFETAP15HOLD(1'b0), .RXDFETAP15OVRDEN(1'b0), .RXDFETAP2HOLD(1'b0), .RXDFETAP2OVRDEN(1'b0), .RXDFETAP3HOLD(1'b0), .RXDFETAP3OVRDEN(1'b0), .RXDFETAP4HOLD(1'b0), .RXDFETAP4OVRDEN(1'b0), .RXDFETAP5HOLD(1'b0), .RXDFETAP5OVRDEN(1'b0), .RXDFETAP6HOLD(1'b0), .RXDFETAP6OVRDEN(1'b0), .RXDFETAP7HOLD(1'b0), .RXDFETAP7OVRDEN(1'b0), .RXDFETAP8HOLD(1'b0), .RXDFETAP8OVRDEN(1'b0), .RXDFETAP9HOLD(1'b0), .RXDFETAP9OVRDEN(1'b0), .RXDFEUTHOLD(1'b0), .RXDFEUTOVRDEN(1'b0), .RXDFEVPHOLD(1'b0), .RXDFEVPOVRDEN(1'b0), .RXDFEVSEN(1'b0), .RXDFEXYDEN(1'b1), .RXDLYBYPASS(1'b0), .RXDLYEN(1'b0), .RXDLYOVRDEN(1'b0), .RXDLYSRESET(\gen_gtwizard_gthe3.rxdlysreset_int ), .RXDLYSRESETDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_28 ), .RXELECIDLE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_29 ), .RXELECIDLEMODE({1'b1,1'b1}), .RXGEARBOXSLIP(1'b0), .RXHEADER({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_312 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_313 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_314 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_315 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_316 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_317 }), .RXHEADERVALID({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_283 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_284 }), .RXLATCLK(1'b0), .RXLPMEN(1'b1), .RXLPMGCHOLD(1'b0), .RXLPMGCOVRDEN(1'b0), .RXLPMHFHOLD(1'b0), .RXLPMHFOVRDEN(1'b0), .RXLPMLFHOLD(1'b0), .RXLPMLFKLOVRDEN(1'b0), .RXLPMOSHOLD(1'b0), .RXLPMOSOVRDEN(1'b0), .RXMCOMMAALIGNEN(1'b0), .RXMONITOROUT({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_318 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_319 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_320 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_321 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_322 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_323 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_324 }), .RXMONITORSEL({1'b0,1'b0}), .RXOOBRESET(1'b0), .RXOSCALRESET(1'b0), .RXOSHOLD(1'b0), .RXOSINTCFG({1'b1,1'b1,1'b0,1'b1}), .RXOSINTDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_30 ), .RXOSINTEN(1'b1), .RXOSINTHOLD(1'b0), .RXOSINTOVRDEN(1'b0), .RXOSINTSTARTED(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_31 ), .RXOSINTSTROBE(1'b0), .RXOSINTSTROBEDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_32 ), .RXOSINTSTROBESTARTED(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_33 ), .RXOSINTTESTOVRDEN(1'b0), .RXOSOVRDEN(1'b0), .RXOUTCLK(rxoutclk_out), .RXOUTCLKFABRIC(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_35 ), .RXOUTCLKPCS(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_36 ), .RXOUTCLKSEL({1'b0,1'b1,1'b0}), .RXPCOMMAALIGNEN(1'b0), .RXPCSRESET(1'b0), .RXPD({1'b0,1'b0}), .RXPHALIGN(1'b0), .RXPHALIGNDONE(rxphaligndone_out), .RXPHALIGNEN(1'b0), .RXPHALIGNERR(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_38 ), .RXPHDLYPD(1'b0), .RXPHDLYRESET(1'b0), .RXPHOVRDEN(1'b0), .RXPLLCLKSEL({1'b1,1'b0}), .RXPMARESET(1'b0), .RXPMARESETDONE(rxpmaresetdone_out), .RXPOLARITY(rxpolarity_in), .RXPRBSCNTRESET(1'b0), .RXPRBSERR(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_40 ), .RXPRBSLOCKED(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_41 ), .RXPRBSSEL({1'b0,1'b0,1'b0,1'b0}), .RXPRGDIVRESETDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_42 ), .RXPROGDIVRESET(rxprogdivreset_in), .RXQPIEN(1'b0), .RXQPISENN(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_43 ), .RXQPISENP(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_44 ), .RXRATE({1'b0,1'b0,1'b0}), .RXRATEDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_45 ), .RXRATEMODE(1'b0), .RXRECCLKOUT(rxrecclkout_out), .RXRESETDONE(rxresetdone_out), .RXSLIDE(rxslide_in), .RXSLIDERDY(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_48 ), .RXSLIPDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_49 ), .RXSLIPOUTCLK(1'b0), .RXSLIPOUTCLKRDY(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_50 ), .RXSLIPPMA(1'b0), .RXSLIPPMARDY(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_51 ), .RXSTARTOFSEQ({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_285 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_286 }), .RXSTATUS({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_304 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_305 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_306 }), .RXSYNCALLIN(rxphaligndone_out), .RXSYNCDONE(rxsyncdone_out), .RXSYNCIN(1'b0), .RXSYNCMODE(1'b1), .RXSYNCOUT(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_53 ), .RXSYSCLKSEL({1'b1,1'b1}), .RXUSERRDY(rxuserrdy_in), .RXUSRCLK(rxusrclk_in), .RXUSRCLK2(rxusrclk2_in), .RXVALID(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_54 ), .SIGVALIDCLK(1'b0), .TSTIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TX8B10BBYPASS({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TX8B10BEN(1'b0), .TXBUFDIFFCTRL({1'b0,1'b0,1'b0}), .TXBUFSTATUS({\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_287 ,\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_288 }), .TXCOMFINISH(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_55 ), .TXCOMINIT(1'b0), .TXCOMSAS(1'b0), .TXCOMWAKE(1'b0), .TXCTRL0({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXCTRL1({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXCTRL2({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXDATA({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,gtwiz_userdata_tx_in}), .TXDATAEXTENDRSVD({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXDEEMPH(1'b0), .TXDETECTRX(1'b0), .TXDIFFCTRL({1'b1,1'b1,1'b0,1'b0}), .TXDIFFPD(1'b0), .TXDLYBYPASS(1'b1), .TXDLYEN(1'b0), .TXDLYHOLD(1'b0), .TXDLYOVRDEN(1'b0), .TXDLYSRESET(1'b0), .TXDLYSRESETDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_56 ), .TXDLYUPDOWN(1'b0), .TXELECIDLE(1'b0), .TXHEADER({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXINHIBIT(1'b0), .TXLATCLK(1'b0), .TXMAINCURSOR({1'b1,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXMARGIN({1'b0,1'b0,1'b0}), .TXOUTCLK(txoutclk_out), .TXOUTCLKFABRIC(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_58 ), .TXOUTCLKPCS(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_59 ), .TXOUTCLKSEL({1'b0,1'b1,1'b1}), .TXPCSRESET(1'b0), .TXPD({1'b0,1'b0}), .TXPDELECIDLEMODE(1'b0), .TXPHALIGN(1'b0), .TXPHALIGNDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_60 ), .TXPHALIGNEN(1'b0), .TXPHDLYPD(1'b1), .TXPHDLYRESET(1'b0), .TXPHDLYTSTCLK(1'b0), .TXPHINIT(1'b0), .TXPHINITDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_61 ), .TXPHOVRDEN(1'b0), .TXPIPPMEN(1'b0), .TXPIPPMOVRDEN(1'b0), .TXPIPPMPD(1'b0), .TXPIPPMSEL(1'b0), .TXPIPPMSTEPSIZE({1'b0,1'b0,1'b0,1'b0,1'b0}), .TXPISOPD(1'b0), .TXPLLCLKSEL({1'b1,1'b1}), .TXPMARESET(1'b0), .TXPMARESETDONE(txpmaresetdone_out), .TXPOLARITY(txpolarity_in), .TXPOSTCURSOR({1'b0,1'b0,1'b0,1'b0,1'b0}), .TXPOSTCURSORINV(1'b0), .TXPRBSFORCEERR(1'b0), .TXPRBSSEL({1'b0,1'b0,1'b0,1'b0}), .TXPRECURSOR({1'b0,1'b0,1'b0,1'b0,1'b0}), .TXPRECURSORINV(1'b0), .TXPRGDIVRESETDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_63 ), .TXPROGDIVRESET(txprogdivreset_in), .TXQPIBIASEN(1'b0), .TXQPISENN(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_64 ), .TXQPISENP(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_65 ), .TXQPISTRONGPDOWN(1'b0), .TXQPIWEAKPUP(1'b0), .TXRATE({1'b0,1'b0,1'b0}), .TXRATEDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_66 ), .TXRATEMODE(1'b0), .TXRESETDONE(txresetdone_out), .TXSEQUENCE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .TXSWING(1'b0), .TXSYNCALLIN(1'b0), .TXSYNCDONE(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_68 ), .TXSYNCIN(1'b0), .TXSYNCMODE(1'b0), .TXSYNCOUT(\gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_69 ), .TXSYSCLKSEL({1'b1,1'b0}), .TXUSERRDY(txuserrdy_in), .TXUSRCLK(txusrclk_in), .TXUSRCLK2(txusrclk2_in)); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_gthe3_common" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_gthe3_common (qpll0lock_out, qpll0outclk_out, qpll0outrefclk_out, qpll1lock_out, qpll1outclk_out, qpll1outrefclk_out, gtrefclk00_in, gtrefclk01_in, gtsouthrefclk00_in, gtsouthrefclk01_in, gtsouthrefclk10_in, gtsouthrefclk11_in, qpll0reset_in, qpll1reset_in, qpll0refclksel_in, qpll1refclksel_in); output [0:0]qpll0lock_out; output [0:0]qpll0outclk_out; output [0:0]qpll0outrefclk_out; output [0:0]qpll1lock_out; output [0:0]qpll1outclk_out; output [0:0]qpll1outrefclk_out; input [0:0]gtrefclk00_in; input [0:0]gtrefclk01_in; input [0:0]gtsouthrefclk00_in; input [0:0]gtsouthrefclk01_in; input [0:0]gtsouthrefclk10_in; input [0:0]gtsouthrefclk11_in; input [0:0]qpll0reset_in; input [0:0]qpll1reset_in; input [2:0]qpll0refclksel_in; input [2:0]qpll1refclksel_in; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_0 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_1 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_10 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_11 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_12 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_13 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_14 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_15 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_16 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_17 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_18 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_19 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_20 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_21 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_22 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_23 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_24 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_25 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_26 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_27 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_28 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_29 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_30 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_31 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_32 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_33 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_34 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_35 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_36 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_37 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_38 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_39 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_40 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_41 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_42 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_43 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_44 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_45 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_46 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_47 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_48 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_49 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_5 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_50 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_51 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_52 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_53 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_54 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_55 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_56 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_57 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_58 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_59 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_6 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_60 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_61 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_62 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_63 ; wire \gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_64 ; wire [0:0]gtrefclk00_in; wire [0:0]gtrefclk01_in; wire [0:0]gtsouthrefclk00_in; wire [0:0]gtsouthrefclk01_in; wire [0:0]gtsouthrefclk10_in; wire [0:0]gtsouthrefclk11_in; wire [0:0]qpll0lock_out; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [2:0]qpll0refclksel_in; wire [0:0]qpll0reset_in; wire [0:0]qpll1lock_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [2:0]qpll1refclksel_in; wire [0:0]qpll1reset_in; (* BOX_TYPE = "PRIMITIVE" *) GTHE3_COMMON #( .BIAS_CFG0(16'h0000), .BIAS_CFG1(16'h0000), .BIAS_CFG2(16'h0000), .BIAS_CFG3(16'h0040), .BIAS_CFG4(16'h0000), .BIAS_CFG_RSVD(10'b0000000000), .COMMON_CFG0(16'h0000), .COMMON_CFG1(16'h0000), .POR_CFG(16'h0004), .QPLL0_CFG0(16'h321C), .QPLL0_CFG1(16'h1018), .QPLL0_CFG1_G3(16'h1018), .QPLL0_CFG2(16'h0048), .QPLL0_CFG2_G3(16'h0048), .QPLL0_CFG3(16'h0120), .QPLL0_CFG4(16'h0000), .QPLL0_CP(10'b0000011111), .QPLL0_CP_G3(10'b1111111111), .QPLL0_FBDIV(32), .QPLL0_FBDIV_G3(80), .QPLL0_INIT_CFG0(16'h02B2), .QPLL0_INIT_CFG1(8'h00), .QPLL0_LOCK_CFG(16'h21E8), .QPLL0_LOCK_CFG_G3(16'h21E8), .QPLL0_LPF(10'b1111111110), .QPLL0_LPF_G3(10'b0000010101), .QPLL0_REFCLK_DIV(1), .QPLL0_SDM_CFG0(16'b0000000000000000), .QPLL0_SDM_CFG1(16'b0000000000000000), .QPLL0_SDM_CFG2(16'b0000000000000000), .QPLL1_CFG0(16'h321C), .QPLL1_CFG1(16'h1018), .QPLL1_CFG1_G3(16'h1018), .QPLL1_CFG2(16'h0040), .QPLL1_CFG2_G3(16'h0040), .QPLL1_CFG3(16'h0120), .QPLL1_CFG4(16'h0000), .QPLL1_CP(10'b0000011111), .QPLL1_CP_G3(10'b1111111111), .QPLL1_FBDIV(32), .QPLL1_FBDIV_G3(80), .QPLL1_INIT_CFG0(16'h02B2), .QPLL1_INIT_CFG1(8'h00), .QPLL1_LOCK_CFG(16'h21E8), .QPLL1_LOCK_CFG_G3(16'h21E8), .QPLL1_LPF(10'b1111111110), .QPLL1_LPF_G3(10'b0000010101), .QPLL1_REFCLK_DIV(1), .QPLL1_SDM_CFG0(16'b0000000000000000), .QPLL1_SDM_CFG1(16'b0000000000000000), .QPLL1_SDM_CFG2(16'b0000000000000000), .RSVD_ATTR0(16'h0000), .RSVD_ATTR1(16'h0000), .RSVD_ATTR2(16'h0000), .RSVD_ATTR3(16'h0000), .RXRECCLKOUT0_SEL(2'b00), .RXRECCLKOUT1_SEL(2'b00), .SARC_EN(1'b1), .SARC_SEL(1'b0), .SDM0DATA1_0(16'b0000000000000000), .SDM0DATA1_1(9'b000000000), .SDM0INITSEED0_0(16'b0000000000000000), .SDM0INITSEED0_1(9'b000000000), .SDM0_DATA_PIN_SEL(1'b0), .SDM0_WIDTH_PIN_SEL(1'b0), .SDM1DATA1_0(16'b0000000000000000), .SDM1DATA1_1(9'b000000000), .SDM1INITSEED0_0(16'b0000000000000000), .SDM1INITSEED0_1(9'b000000000), .SDM1_DATA_PIN_SEL(1'b0), .SDM1_WIDTH_PIN_SEL(1'b0), .SIM_MODE("FAST"), .SIM_RESET_SPEEDUP("TRUE"), .SIM_VERSION(2)) \gthe3_common_gen.GTHE3_COMMON_PRIM_INST (.BGBYPASSB(1'b1), .BGMONITORENB(1'b1), .BGPDB(1'b1), .BGRCALOVRD({1'b1,1'b1,1'b1,1'b1,1'b1}), .BGRCALOVRDENB(1'b1), .DRPADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .DRPCLK(1'b0), .DRPDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .DRPDO({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_13 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_14 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_15 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_16 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_17 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_18 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_19 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_20 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_21 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_22 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_23 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_24 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_25 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_26 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_27 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_28 }), .DRPEN(1'b0), .DRPRDY(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_0 ), .DRPWE(1'b0), .GTGREFCLK0(1'b0), .GTGREFCLK1(1'b0), .GTNORTHREFCLK00(1'b0), .GTNORTHREFCLK01(1'b0), .GTNORTHREFCLK10(1'b0), .GTNORTHREFCLK11(1'b0), .GTREFCLK00(gtrefclk00_in), .GTREFCLK01(gtrefclk01_in), .GTREFCLK10(1'b0), .GTREFCLK11(1'b0), .GTSOUTHREFCLK00(gtsouthrefclk00_in), .GTSOUTHREFCLK01(gtsouthrefclk01_in), .GTSOUTHREFCLK10(gtsouthrefclk10_in), .GTSOUTHREFCLK11(gtsouthrefclk11_in), .PMARSVD0({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .PMARSVD1({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .PMARSVDOUT0({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_33 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_34 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_35 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_36 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_37 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_38 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_39 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_40 }), .PMARSVDOUT1({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_41 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_42 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_43 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_44 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_45 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_46 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_47 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_48 }), .QPLL0CLKRSVD0(1'b0), .QPLL0CLKRSVD1(1'b0), .QPLL0FBCLKLOST(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_1 ), .QPLL0LOCK(qpll0lock_out), .QPLL0LOCKDETCLK(1'b0), .QPLL0LOCKEN(1'b1), .QPLL0OUTCLK(qpll0outclk_out), .QPLL0OUTREFCLK(qpll0outrefclk_out), .QPLL0PD(1'b0), .QPLL0REFCLKLOST(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_5 ), .QPLL0REFCLKSEL(qpll0refclksel_in), .QPLL0RESET(qpll0reset_in), .QPLL1CLKRSVD0(1'b0), .QPLL1CLKRSVD1(1'b0), .QPLL1FBCLKLOST(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_6 ), .QPLL1LOCK(qpll1lock_out), .QPLL1LOCKDETCLK(1'b0), .QPLL1LOCKEN(1'b1), .QPLL1OUTCLK(qpll1outclk_out), .QPLL1OUTREFCLK(qpll1outrefclk_out), .QPLL1PD(1'b0), .QPLL1REFCLKLOST(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_10 ), .QPLL1REFCLKSEL(qpll1refclksel_in), .QPLL1RESET(qpll1reset_in), .QPLLDMONITOR0({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_49 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_50 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_51 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_52 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_53 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_54 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_55 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_56 }), .QPLLDMONITOR1({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_57 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_58 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_59 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_60 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_61 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_62 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_63 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_64 }), .QPLLRSVD1({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .QPLLRSVD2({1'b0,1'b0,1'b0,1'b0,1'b0}), .QPLLRSVD3({1'b0,1'b0,1'b0,1'b0,1'b0}), .QPLLRSVD4({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .RCALENB(1'b1), .REFCLKOUTMONITOR0(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_11 ), .REFCLKOUTMONITOR1(\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_12 ), .RXRECCLK0_SEL({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_29 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_30 }), .RXRECCLK1_SEL({\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_31 ,\gthe3_common_gen.GTHE3_COMMON_PRIM_INST_n_32 })); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_gtwiz_buffbypass_rx" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_gtwiz_buffbypass_rx (gtwiz_buffbypass_rx_done_out, gtwiz_buffbypass_rx_error_out, \gen_gtwizard_gthe3.rxdlysreset_int , rxsyncdone_in, rxphaligndone_in, gtwiz_buffbypass_rx_reset_in, rxusrclk2_in, gtwiz_buffbypass_rx_start_user_in, gtwiz_reset_rx_done_in); output [0:0]gtwiz_buffbypass_rx_done_out; output [0:0]gtwiz_buffbypass_rx_error_out; output \gen_gtwizard_gthe3.rxdlysreset_int ; input [0:0]rxsyncdone_in; input [0:0]rxphaligndone_in; input [0:0]gtwiz_buffbypass_rx_reset_in; input [0:0]rxusrclk2_in; input [0:0]gtwiz_buffbypass_rx_start_user_in; input [0:0]gtwiz_reset_rx_done_in; wire \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.bit_synchronizer_masterphaligndone_inst_n_0 ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_1 ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_2 ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_3 ; wire [0:0]\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx ; wire [1:0]\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx__0 ; wire \gen_gtwizard_gthe3.rxdlysreset_int ; wire [0:0]gtwiz_buffbypass_rx_done_out; wire [0:0]gtwiz_buffbypass_rx_error_out; wire [0:0]gtwiz_buffbypass_rx_reset_in; wire [0:0]gtwiz_buffbypass_rx_start_user_in; wire [0:0]gtwiz_reset_rx_done_in; wire [0:0]rxphaligndone_in; wire [0:0]rxsyncdone_in; wire [0:0]rxusrclk2_in; (* FSM_ENCODED_STATES = "ST_BUFFBYPASS_RX_DEASSERT_RXDLYSRESET:01,ST_BUFFBYPASS_RX_WAIT_RXSYNCDONE:10,iSTATE:00,ST_BUFFBYPASS_RX_DONE:11" *) FDRE #( .INIT(1'b0)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] (.C(rxusrclk2_in), .CE(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_1 ), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx__0 [0]), .Q(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx ), .R(gtwiz_buffbypass_rx_reset_in)); (* FSM_ENCODED_STATES = "ST_BUFFBYPASS_RX_DEASSERT_RXDLYSRESET:01,ST_BUFFBYPASS_RX_WAIT_RXSYNCDONE:10,iSTATE:00,ST_BUFFBYPASS_RX_DONE:11" *) FDRE #( .INIT(1'b0)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] (.C(rxusrclk2_in), .CE(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_1 ), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx__0 [1]), .Q(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ), .R(gtwiz_buffbypass_rx_reset_in)); ttc_mgt_gtwizard_ultrascale_v1_7_9_bit_synchronizer \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.bit_synchronizer_masterphaligndone_inst (.\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.bit_synchronizer_masterphaligndone_inst_n_0 ), .Q(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ), .rxphaligndone_in(rxphaligndone_in), .rxusrclk2_in(rxusrclk2_in)); ttc_mgt_gtwizard_ultrascale_v1_7_9_bit_synchronizer_0 \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.bit_synchronizer_mastersyncdone_inst (.D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx__0 ), .Q({\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ,\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx }), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .rxsyncdone_in(rxsyncdone_in), .rxusrclk2_in(rxusrclk2_in)); FDRE #( .INIT(1'b0)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_done_out_reg (.C(rxusrclk2_in), .CE(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_2 ), .D(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ), .Q(gtwiz_buffbypass_rx_done_out), .R(gtwiz_buffbypass_rx_reset_in)); FDRE #( .INIT(1'b0)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_error_out_reg (.C(rxusrclk2_in), .CE(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_2 ), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.bit_synchronizer_masterphaligndone_inst_n_0 ), .Q(gtwiz_buffbypass_rx_error_out), .R(gtwiz_buffbypass_rx_reset_in)); FDRE #( .INIT(1'b0)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg_reg (.C(rxusrclk2_in), .CE(1'b1), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .Q(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .R(1'b0)); FDRE #( .INIT(1'b0)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg_reg (.C(rxusrclk2_in), .CE(1'b1), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ), .Q(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ), .R(gtwiz_buffbypass_rx_reset_in)); ttc_mgt_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst (.E(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_1 ), .\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_2 ), .\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_3 ), .Q({\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg_n_0_[1] ,\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx }), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ), .\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ), .\gen_gtwizard_gthe3.rxdlysreset_int (\gen_gtwizard_gthe3.rxdlysreset_int ), .gtwiz_buffbypass_rx_start_user_in(gtwiz_buffbypass_rx_start_user_in), .gtwiz_reset_rx_done_in(gtwiz_reset_rx_done_in), .rxusrclk2_in(rxusrclk2_in)); FDRE #( .INIT(1'b0)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.rxdlysreset_out_reg[0] (.C(rxusrclk2_in), .CE(1'b1), .D(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst_n_3 ), .Q(\gen_gtwizard_gthe3.rxdlysreset_int ), .R(gtwiz_buffbypass_rx_reset_in)); endmodule (* ORIG_REF_NAME = "gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer" *) module ttc_mgt_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer (\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int , E, \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] , \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] , rxusrclk2_in, Q, \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg , \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int , gtwiz_buffbypass_rx_start_user_in, \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg , gtwiz_reset_rx_done_in, \gen_gtwizard_gthe3.rxdlysreset_int ); output \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ; output [0:0]E; output \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] ; output \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] ; input [0:0]rxusrclk2_in; input [1:0]Q; input \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ; input \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ; input [0:0]gtwiz_buffbypass_rx_start_user_in; input \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ; input [0:0]gtwiz_reset_rx_done_in; input \gen_gtwizard_gthe3.rxdlysreset_int ; wire [0:0]E; wire \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] ; wire \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] ; wire [1:0]Q; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ; wire \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_start_int__1 ; wire \gen_gtwizard_gthe3.rxdlysreset_int ; wire [0:0]gtwiz_buffbypass_rx_start_user_in; wire [0:0]gtwiz_reset_rx_done_in; (* async_reg = "true" *) wire rst_in_meta; wire rst_in_meta_i_1_n_0; (* async_reg = "true" *) wire rst_in_sync1; (* async_reg = "true" *) wire rst_in_sync2; (* async_reg = "true" *) wire rst_in_sync3; wire [0:0]rxusrclk2_in; LUT5 #( .INIT(32'hAEFEAEAE)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx[1]_i_1 (.I0(Q[0]), .I1(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_start_int__1 ), .I2(Q[1]), .I3(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_reg ), .I4(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_master_syncdone_sync_int ), .O(E)); (* SOFT_HLUTNM = "soft_lutpair1" *) LUT3 #( .INIT(8'hF4)) \FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx[1]_i_3 (.I0(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ), .I1(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ), .I2(gtwiz_buffbypass_rx_start_user_in), .O(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_start_int__1 )); (* SOFT_HLUTNM = "soft_lutpair1" *) LUT5 #( .INIT(32'hAAAA4454)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_done_out_i_1 (.I0(Q[0]), .I1(gtwiz_buffbypass_rx_start_user_in), .I2(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ), .I3(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ), .I4(Q[1]), .O(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] )); LUT6 #( .INIT(64'hAAAAFFFF00005510)) \gen_gtwiz_buffbypass_rx_main.gen_auto_mode.rxdlysreset_out[0]_i_1 (.I0(Q[1]), .I1(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_reg ), .I2(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int ), .I3(gtwiz_buffbypass_rx_start_user_in), .I4(Q[0]), .I5(\gen_gtwizard_gthe3.rxdlysreset_int ), .O(\FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[1] )); LUT1 #( .INIT(2'h1)) rst_in_meta_i_1 (.I0(gtwiz_reset_rx_done_in), .O(rst_in_meta_i_1_n_0)); (* ASYNC_REG *) (* KEEP = "yes" *) FDCE #( .INIT(1'b0)) rst_in_meta_reg (.C(rxusrclk2_in), .CE(1'b1), .CLR(rst_in_meta_i_1_n_0), .D(1'b1), .Q(rst_in_meta)); FDCE #( .INIT(1'b0)) rst_in_out_reg (.C(rxusrclk2_in), .CE(1'b1), .CLR(rst_in_meta_i_1_n_0), .D(rst_in_sync3), .Q(\gen_gtwiz_buffbypass_rx_main.gen_auto_mode.gtwiz_buffbypass_rx_resetdone_sync_int )); (* ASYNC_REG *) (* KEEP = "yes" *) FDCE #( .INIT(1'b0)) rst_in_sync1_reg (.C(rxusrclk2_in), .CE(1'b1), .CLR(rst_in_meta_i_1_n_0), .D(rst_in_meta), .Q(rst_in_sync1)); (* ASYNC_REG *) (* KEEP = "yes" *) FDCE #( .INIT(1'b0)) rst_in_sync2_reg (.C(rxusrclk2_in), .CE(1'b1), .CLR(rst_in_meta_i_1_n_0), .D(rst_in_sync1), .Q(rst_in_sync2)); (* ASYNC_REG *) (* KEEP = "yes" *) FDCE #( .INIT(1'b0)) rst_in_sync3_reg (.C(rxusrclk2_in), .CE(1'b1), .CLR(rst_in_meta_i_1_n_0), .D(rst_in_sync2), .Q(rst_in_sync3)); endmodule (* ORIG_REF_NAME = "ttc_mgt_gthe3_channel_wrapper" *) module ttc_mgt_ttc_mgt_gthe3_channel_wrapper (gthtxn_out, gthtxp_out, gtpowergood_out, rxcdrlock_out, rxoutclk_out, rxphaligndone_out, rxpmaresetdone_out, rxrecclkout_out, rxresetdone_out, rxsyncdone_out, txoutclk_out, txpmaresetdone_out, txresetdone_out, gtwiz_userdata_rx_out, gthrxn_in, gthrxp_in, gtrxreset_in, gttxreset_in, qpll0outclk_out, qpll0outrefclk_out, qpll1outclk_out, qpll1outrefclk_out, \gen_gtwizard_gthe3.rxdlysreset_int , rxpolarity_in, rxprogdivreset_in, rxslide_in, rxuserrdy_in, rxusrclk_in, rxusrclk2_in, txpolarity_in, txprogdivreset_in, txuserrdy_in, txusrclk_in, txusrclk2_in, gtwiz_userdata_tx_in, loopback_in); output [0:0]gthtxn_out; output [0:0]gthtxp_out; output [0:0]gtpowergood_out; output [0:0]rxcdrlock_out; output [0:0]rxoutclk_out; output [0:0]rxphaligndone_out; output [0:0]rxpmaresetdone_out; output [0:0]rxrecclkout_out; output [0:0]rxresetdone_out; output [0:0]rxsyncdone_out; output [0:0]txoutclk_out; output [0:0]txpmaresetdone_out; output [0:0]txresetdone_out; output [31:0]gtwiz_userdata_rx_out; input [0:0]gthrxn_in; input [0:0]gthrxp_in; input [0:0]gtrxreset_in; input [0:0]gttxreset_in; input [0:0]qpll0outclk_out; input [0:0]qpll0outrefclk_out; input [0:0]qpll1outclk_out; input [0:0]qpll1outrefclk_out; input \gen_gtwizard_gthe3.rxdlysreset_int ; input [0:0]rxpolarity_in; input [0:0]rxprogdivreset_in; input [0:0]rxslide_in; input [0:0]rxuserrdy_in; input [0:0]rxusrclk_in; input [0:0]rxusrclk2_in; input [0:0]txpolarity_in; input [0:0]txprogdivreset_in; input [0:0]txuserrdy_in; input [0:0]txusrclk_in; input [0:0]txusrclk2_in; input [31:0]gtwiz_userdata_tx_in; input [2:0]loopback_in; wire \gen_gtwizard_gthe3.rxdlysreset_int ; wire [0:0]gthrxn_in; wire [0:0]gthrxp_in; wire [0:0]gthtxn_out; wire [0:0]gthtxp_out; wire [0:0]gtpowergood_out; wire [0:0]gtrxreset_in; wire [0:0]gttxreset_in; wire [31:0]gtwiz_userdata_rx_out; wire [31:0]gtwiz_userdata_tx_in; wire [2:0]loopback_in; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [0:0]rxcdrlock_out; wire [0:0]rxoutclk_out; wire [0:0]rxphaligndone_out; wire [0:0]rxpmaresetdone_out; wire [0:0]rxpolarity_in; wire [0:0]rxprogdivreset_in; wire [0:0]rxrecclkout_out; wire [0:0]rxresetdone_out; wire [0:0]rxslide_in; wire [0:0]rxsyncdone_out; wire [0:0]rxuserrdy_in; wire [0:0]rxusrclk2_in; wire [0:0]rxusrclk_in; wire [0:0]txoutclk_out; wire [0:0]txpmaresetdone_out; wire [0:0]txpolarity_in; wire [0:0]txprogdivreset_in; wire [0:0]txresetdone_out; wire [0:0]txuserrdy_in; wire [0:0]txusrclk2_in; wire [0:0]txusrclk_in; ttc_mgt_gtwizard_ultrascale_v1_7_9_gthe3_channel channel_inst (.\gen_gtwizard_gthe3.rxdlysreset_int (\gen_gtwizard_gthe3.rxdlysreset_int ), .gthrxn_in(gthrxn_in), .gthrxp_in(gthrxp_in), .gthtxn_out(gthtxn_out), .gthtxp_out(gthtxp_out), .gtpowergood_out(gtpowergood_out), .gtrxreset_in(gtrxreset_in), .gttxreset_in(gttxreset_in), .gtwiz_userdata_rx_out(gtwiz_userdata_rx_out), .gtwiz_userdata_tx_in(gtwiz_userdata_tx_in), .loopback_in(loopback_in), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .rxcdrlock_out(rxcdrlock_out), .rxoutclk_out(rxoutclk_out), .rxphaligndone_out(rxphaligndone_out), .rxpmaresetdone_out(rxpmaresetdone_out), .rxpolarity_in(rxpolarity_in), .rxprogdivreset_in(rxprogdivreset_in), .rxrecclkout_out(rxrecclkout_out), .rxresetdone_out(rxresetdone_out), .rxslide_in(rxslide_in), .rxsyncdone_out(rxsyncdone_out), .rxuserrdy_in(rxuserrdy_in), .rxusrclk2_in(rxusrclk2_in), .rxusrclk_in(rxusrclk_in), .txoutclk_out(txoutclk_out), .txpmaresetdone_out(txpmaresetdone_out), .txpolarity_in(txpolarity_in), .txprogdivreset_in(txprogdivreset_in), .txresetdone_out(txresetdone_out), .txuserrdy_in(txuserrdy_in), .txusrclk2_in(txusrclk2_in), .txusrclk_in(txusrclk_in)); endmodule (* ORIG_REF_NAME = "ttc_mgt_gthe3_common_wrapper" *) module ttc_mgt_ttc_mgt_gthe3_common_wrapper (qpll0lock_out, qpll0outclk_out, qpll0outrefclk_out, qpll1lock_out, qpll1outclk_out, qpll1outrefclk_out, gtrefclk00_in, gtrefclk01_in, gtsouthrefclk00_in, gtsouthrefclk01_in, gtsouthrefclk10_in, gtsouthrefclk11_in, qpll0reset_in, qpll1reset_in, qpll0refclksel_in, qpll1refclksel_in); output [0:0]qpll0lock_out; output [0:0]qpll0outclk_out; output [0:0]qpll0outrefclk_out; output [0:0]qpll1lock_out; output [0:0]qpll1outclk_out; output [0:0]qpll1outrefclk_out; input [0:0]gtrefclk00_in; input [0:0]gtrefclk01_in; input [0:0]gtsouthrefclk00_in; input [0:0]gtsouthrefclk01_in; input [0:0]gtsouthrefclk10_in; input [0:0]gtsouthrefclk11_in; input [0:0]qpll0reset_in; input [0:0]qpll1reset_in; input [2:0]qpll0refclksel_in; input [2:0]qpll1refclksel_in; wire [0:0]gtrefclk00_in; wire [0:0]gtrefclk01_in; wire [0:0]gtsouthrefclk00_in; wire [0:0]gtsouthrefclk01_in; wire [0:0]gtsouthrefclk10_in; wire [0:0]gtsouthrefclk11_in; wire [0:0]qpll0lock_out; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [2:0]qpll0refclksel_in; wire [0:0]qpll0reset_in; wire [0:0]qpll1lock_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [2:0]qpll1refclksel_in; wire [0:0]qpll1reset_in; ttc_mgt_gtwizard_ultrascale_v1_7_9_gthe3_common common_inst (.gtrefclk00_in(gtrefclk00_in), .gtrefclk01_in(gtrefclk01_in), .gtsouthrefclk00_in(gtsouthrefclk00_in), .gtsouthrefclk01_in(gtsouthrefclk01_in), .gtsouthrefclk10_in(gtsouthrefclk10_in), .gtsouthrefclk11_in(gtsouthrefclk11_in), .qpll0lock_out(qpll0lock_out), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll0refclksel_in(qpll0refclksel_in), .qpll0reset_in(qpll0reset_in), .qpll1lock_out(qpll1lock_out), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .qpll1refclksel_in(qpll1refclksel_in), .qpll1reset_in(qpll1reset_in)); endmodule (* ORIG_REF_NAME = "ttc_mgt_gtwizard_gthe3" *) module ttc_mgt_ttc_mgt_gtwizard_gthe3 (qpll0lock_out, qpll0outclk_out, qpll0outrefclk_out, qpll1lock_out, qpll1outclk_out, qpll1outrefclk_out, gthtxn_out, gthtxp_out, gtpowergood_out, rxcdrlock_out, rxoutclk_out, rxpmaresetdone_out, rxrecclkout_out, rxresetdone_out, txoutclk_out, txpmaresetdone_out, txresetdone_out, gtwiz_userdata_rx_out, gtwiz_buffbypass_rx_done_out, gtwiz_buffbypass_rx_error_out, gtrefclk00_in, gtrefclk01_in, gtsouthrefclk00_in, gtsouthrefclk01_in, gtsouthrefclk10_in, gtsouthrefclk11_in, qpll0reset_in, qpll1reset_in, qpll0refclksel_in, qpll1refclksel_in, gthrxn_in, gthrxp_in, gtrxreset_in, gttxreset_in, rxpolarity_in, rxprogdivreset_in, rxslide_in, rxuserrdy_in, rxusrclk_in, rxusrclk2_in, txpolarity_in, txprogdivreset_in, txuserrdy_in, txusrclk_in, txusrclk2_in, gtwiz_userdata_tx_in, loopback_in, gtwiz_buffbypass_rx_reset_in, gtwiz_buffbypass_rx_start_user_in, gtwiz_reset_rx_done_in); output [0:0]qpll0lock_out; output [0:0]qpll0outclk_out; output [0:0]qpll0outrefclk_out; output [0:0]qpll1lock_out; output [0:0]qpll1outclk_out; output [0:0]qpll1outrefclk_out; output [0:0]gthtxn_out; output [0:0]gthtxp_out; output [0:0]gtpowergood_out; output [0:0]rxcdrlock_out; output [0:0]rxoutclk_out; output [0:0]rxpmaresetdone_out; output [0:0]rxrecclkout_out; output [0:0]rxresetdone_out; output [0:0]txoutclk_out; output [0:0]txpmaresetdone_out; output [0:0]txresetdone_out; output [31:0]gtwiz_userdata_rx_out; output [0:0]gtwiz_buffbypass_rx_done_out; output [0:0]gtwiz_buffbypass_rx_error_out; input [0:0]gtrefclk00_in; input [0:0]gtrefclk01_in; input [0:0]gtsouthrefclk00_in; input [0:0]gtsouthrefclk01_in; input [0:0]gtsouthrefclk10_in; input [0:0]gtsouthrefclk11_in; input [0:0]qpll0reset_in; input [0:0]qpll1reset_in; input [2:0]qpll0refclksel_in; input [2:0]qpll1refclksel_in; input [0:0]gthrxn_in; input [0:0]gthrxp_in; input [0:0]gtrxreset_in; input [0:0]gttxreset_in; input [0:0]rxpolarity_in; input [0:0]rxprogdivreset_in; input [0:0]rxslide_in; input [0:0]rxuserrdy_in; input [0:0]rxusrclk_in; input [0:0]rxusrclk2_in; input [0:0]txpolarity_in; input [0:0]txprogdivreset_in; input [0:0]txuserrdy_in; input [0:0]txusrclk_in; input [0:0]txusrclk2_in; input [31:0]gtwiz_userdata_tx_in; input [2:0]loopback_in; input [0:0]gtwiz_buffbypass_rx_reset_in; input [0:0]gtwiz_buffbypass_rx_start_user_in; input [0:0]gtwiz_reset_rx_done_in; wire \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_5 ; wire \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9 ; wire \gen_gtwizard_gthe3.rxdlysreset_int ; wire [0:0]gthrxn_in; wire [0:0]gthrxp_in; wire [0:0]gthtxn_out; wire [0:0]gthtxp_out; wire [0:0]gtpowergood_out; wire [0:0]gtrefclk00_in; wire [0:0]gtrefclk01_in; wire [0:0]gtrxreset_in; wire [0:0]gtsouthrefclk00_in; wire [0:0]gtsouthrefclk01_in; wire [0:0]gtsouthrefclk10_in; wire [0:0]gtsouthrefclk11_in; wire [0:0]gttxreset_in; wire [0:0]gtwiz_buffbypass_rx_done_out; wire [0:0]gtwiz_buffbypass_rx_error_out; wire [0:0]gtwiz_buffbypass_rx_reset_in; wire [0:0]gtwiz_buffbypass_rx_start_user_in; wire [0:0]gtwiz_reset_rx_done_in; wire [31:0]gtwiz_userdata_rx_out; wire [31:0]gtwiz_userdata_tx_in; wire [2:0]loopback_in; wire [0:0]qpll0lock_out; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [2:0]qpll0refclksel_in; wire [0:0]qpll0reset_in; wire [0:0]qpll1lock_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [2:0]qpll1refclksel_in; wire [0:0]qpll1reset_in; wire [0:0]rxcdrlock_out; wire [0:0]rxoutclk_out; wire [0:0]rxpmaresetdone_out; wire [0:0]rxpolarity_in; wire [0:0]rxprogdivreset_in; wire [0:0]rxrecclkout_out; wire [0:0]rxresetdone_out; wire [0:0]rxslide_in; wire [0:0]rxuserrdy_in; wire [0:0]rxusrclk2_in; wire [0:0]rxusrclk_in; wire [0:0]txoutclk_out; wire [0:0]txpmaresetdone_out; wire [0:0]txpolarity_in; wire [0:0]txprogdivreset_in; wire [0:0]txresetdone_out; wire [0:0]txuserrdy_in; wire [0:0]txusrclk2_in; wire [0:0]txusrclk_in; ttc_mgt_ttc_mgt_gthe3_channel_wrapper \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst (.\gen_gtwizard_gthe3.rxdlysreset_int (\gen_gtwizard_gthe3.rxdlysreset_int ), .gthrxn_in(gthrxn_in), .gthrxp_in(gthrxp_in), .gthtxn_out(gthtxn_out), .gthtxp_out(gthtxp_out), .gtpowergood_out(gtpowergood_out), .gtrxreset_in(gtrxreset_in), .gttxreset_in(gttxreset_in), .gtwiz_userdata_rx_out(gtwiz_userdata_rx_out), .gtwiz_userdata_tx_in(gtwiz_userdata_tx_in), .loopback_in(loopback_in), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .rxcdrlock_out(rxcdrlock_out), .rxoutclk_out(rxoutclk_out), .rxphaligndone_out(\gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_5 ), .rxpmaresetdone_out(rxpmaresetdone_out), .rxpolarity_in(rxpolarity_in), .rxprogdivreset_in(rxprogdivreset_in), .rxrecclkout_out(rxrecclkout_out), .rxresetdone_out(rxresetdone_out), .rxslide_in(rxslide_in), .rxsyncdone_out(\gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9 ), .rxuserrdy_in(rxuserrdy_in), .rxusrclk2_in(rxusrclk2_in), .rxusrclk_in(rxusrclk_in), .txoutclk_out(txoutclk_out), .txpmaresetdone_out(txpmaresetdone_out), .txpolarity_in(txpolarity_in), .txprogdivreset_in(txprogdivreset_in), .txresetdone_out(txresetdone_out), .txuserrdy_in(txuserrdy_in), .txusrclk2_in(txusrclk2_in), .txusrclk_in(txusrclk_in)); ttc_mgt_ttc_mgt_gthe3_common_wrapper \gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst (.gtrefclk00_in(gtrefclk00_in), .gtrefclk01_in(gtrefclk01_in), .gtsouthrefclk00_in(gtsouthrefclk00_in), .gtsouthrefclk01_in(gtsouthrefclk01_in), .gtsouthrefclk10_in(gtsouthrefclk10_in), .gtsouthrefclk11_in(gtsouthrefclk11_in), .qpll0lock_out(qpll0lock_out), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll0refclksel_in(qpll0refclksel_in), .qpll0reset_in(qpll0reset_in), .qpll1lock_out(qpll1lock_out), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .qpll1refclksel_in(qpll1refclksel_in), .qpll1reset_in(qpll1reset_in)); ttc_mgt_gtwizard_ultrascale_v1_7_9_gtwiz_buffbypass_rx \gen_gtwizard_gthe3.gen_rx_buffer_bypass_internal.gen_single_instance.gtwiz_buffbypass_rx_inst (.\gen_gtwizard_gthe3.rxdlysreset_int (\gen_gtwizard_gthe3.rxdlysreset_int ), .gtwiz_buffbypass_rx_done_out(gtwiz_buffbypass_rx_done_out), .gtwiz_buffbypass_rx_error_out(gtwiz_buffbypass_rx_error_out), .gtwiz_buffbypass_rx_reset_in(gtwiz_buffbypass_rx_reset_in), .gtwiz_buffbypass_rx_start_user_in(gtwiz_buffbypass_rx_start_user_in), .gtwiz_reset_rx_done_in(gtwiz_reset_rx_done_in), .rxphaligndone_in(\gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_5 ), .rxsyncdone_in(\gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9 ), .rxusrclk2_in(rxusrclk2_in)); endmodule (* C_CHANNEL_ENABLE = "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_COMMON_SCALING_FACTOR = "1" *) (* C_CPLL_VCO_FREQUENCY = "2578.125000" *) (* C_ENABLE_COMMON_USRCLK = "0" *) (* C_FORCE_COMMONS = "0" *) (* C_FREERUN_FREQUENCY = "125.000000" *) (* C_GT_REV = "17" *) (* C_GT_TYPE = "0" *) (* C_INCLUDE_CPLL_CAL = "2" *) (* C_LOCATE_COMMON = "0" *) (* C_LOCATE_IN_SYSTEM_IBERT_CORE = "2" *) (* C_LOCATE_RESET_CONTROLLER = "1" *) (* C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER = "0" *) (* C_LOCATE_RX_USER_CLOCKING = "1" *) (* C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER = "0" *) (* C_LOCATE_TX_USER_CLOCKING = "1" *) (* C_LOCATE_USER_DATA_WIDTH_SIZING = "0" *) (* C_PCIE_CORECLK_FREQ = "250" *) (* C_PCIE_ENABLE = "0" *) (* C_RESET_CONTROLLER_INSTANCE_CTRL = "0" *) (* C_RESET_SEQUENCE_INTERVAL = "0" *) (* C_RX_BUFFBYPASS_MODE = "0" *) (* C_RX_BUFFER_BYPASS_INSTANCE_CTRL = "0" *) (* C_RX_BUFFER_MODE = "0" *) (* C_RX_CB_DISP = "8'b00000000" *) (* C_RX_CB_K = "8'b00000000" *) (* C_RX_CB_LEN_SEQ = "1" *) (* C_RX_CB_MAX_LEVEL = "1" *) (* C_RX_CB_NUM_SEQ = "0" *) (* C_RX_CB_VAL = "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_CC_DISP = "8'b00000000" *) (* C_RX_CC_ENABLE = "0" *) (* C_RX_CC_K = "8'b00000000" *) (* C_RX_CC_LEN_SEQ = "1" *) (* C_RX_CC_NUM_SEQ = "0" *) (* C_RX_CC_PERIODICITY = "5000" *) (* C_RX_CC_VAL = "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_COMMA_M_ENABLE = "0" *) (* C_RX_COMMA_M_VAL = "10'b1010000011" *) (* C_RX_COMMA_P_ENABLE = "0" *) (* C_RX_COMMA_P_VAL = "10'b0101111100" *) (* C_RX_DATA_DECODING = "0" *) (* C_RX_ENABLE = "1" *) (* C_RX_INT_DATA_WIDTH = "32" *) (* C_RX_LINE_RATE = "10.260224" *) (* C_RX_MASTER_CHANNEL_IDX = "99" *) (* C_RX_OUTCLK_BUFG_GT_DIV = "1" *) (* C_RX_OUTCLK_FREQUENCY = "320.632000" *) (* C_RX_OUTCLK_SOURCE = "1" *) (* C_RX_PLL_TYPE = "1" *) (* C_RX_RECCLK_OUTPUT = "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_RX_REFCLK_FREQUENCY = "320.632000" *) (* C_RX_SLIDE_MODE = "1" *) (* C_RX_USER_CLOCKING_CONTENTS = "0" *) (* C_RX_USER_CLOCKING_INSTANCE_CTRL = "0" *) (* C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK = "1" *) (* C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 = "1" *) (* C_RX_USER_CLOCKING_SOURCE = "0" *) (* C_RX_USER_DATA_WIDTH = "32" *) (* C_RX_USRCLK2_FREQUENCY = "320.632000" *) (* C_RX_USRCLK_FREQUENCY = "320.632000" *) (* C_SECONDARY_QPLL_ENABLE = "0" *) (* C_SECONDARY_QPLL_REFCLK_FREQUENCY = "257.812500" *) (* C_SIM_CPLL_CAL_BYPASS = "1" *) (* C_TOTAL_NUM_CHANNELS = "1" *) (* C_TOTAL_NUM_COMMONS = "1" *) (* C_TOTAL_NUM_COMMONS_EXAMPLE = "0" *) (* C_TXPROGDIV_FREQ_ENABLE = "0" *) (* C_TXPROGDIV_FREQ_SOURCE = "0" *) (* C_TXPROGDIV_FREQ_VAL = "320.632000" *) (* C_TX_BUFFBYPASS_MODE = "0" *) (* C_TX_BUFFER_BYPASS_INSTANCE_CTRL = "0" *) (* C_TX_BUFFER_MODE = "1" *) (* C_TX_DATA_ENCODING = "0" *) (* C_TX_ENABLE = "1" *) (* C_TX_INT_DATA_WIDTH = "32" *) (* C_TX_LINE_RATE = "10.260224" *) (* C_TX_MASTER_CHANNEL_IDX = "99" *) (* C_TX_OUTCLK_BUFG_GT_DIV = "1" *) (* C_TX_OUTCLK_FREQUENCY = "320.632000" *) (* C_TX_OUTCLK_SOURCE = "2" *) (* C_TX_PLL_TYPE = "0" *) (* C_TX_REFCLK_FREQUENCY = "320.632000" *) (* C_TX_USER_CLOCKING_CONTENTS = "0" *) (* C_TX_USER_CLOCKING_INSTANCE_CTRL = "0" *) (* C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK = "1" *) (* C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 = "1" *) (* C_TX_USER_CLOCKING_SOURCE = "0" *) (* C_TX_USER_DATA_WIDTH = "32" *) (* C_TX_USRCLK2_FREQUENCY = "320.632000" *) (* C_TX_USRCLK_FREQUENCY = "320.632000" *) (* C_USER_GTPOWERGOOD_DELAY_EN = "0" *) (* ORIG_REF_NAME = "ttc_mgt_gtwizard_top" *) module ttc_mgt_ttc_mgt_gtwizard_top (gtwiz_userclk_tx_reset_in, gtwiz_userclk_tx_active_in, gtwiz_userclk_tx_srcclk_out, gtwiz_userclk_tx_usrclk_out, gtwiz_userclk_tx_usrclk2_out, gtwiz_userclk_tx_active_out, gtwiz_userclk_rx_reset_in, gtwiz_userclk_rx_active_in, gtwiz_userclk_rx_srcclk_out, gtwiz_userclk_rx_usrclk_out, gtwiz_userclk_rx_usrclk2_out, gtwiz_userclk_rx_active_out, gtwiz_buffbypass_tx_reset_in, gtwiz_buffbypass_tx_start_user_in, gtwiz_buffbypass_tx_done_out, gtwiz_buffbypass_tx_error_out, gtwiz_buffbypass_rx_reset_in, gtwiz_buffbypass_rx_start_user_in, gtwiz_buffbypass_rx_done_out, gtwiz_buffbypass_rx_error_out, gtwiz_reset_clk_freerun_in, gtwiz_reset_all_in, gtwiz_reset_tx_pll_and_datapath_in, gtwiz_reset_tx_datapath_in, gtwiz_reset_rx_pll_and_datapath_in, gtwiz_reset_rx_datapath_in, gtwiz_reset_tx_done_in, gtwiz_reset_rx_done_in, gtwiz_reset_qpll0lock_in, gtwiz_reset_qpll1lock_in, gtwiz_reset_rx_cdr_stable_out, gtwiz_reset_tx_done_out, gtwiz_reset_rx_done_out, gtwiz_reset_qpll0reset_out, gtwiz_reset_qpll1reset_out, gtwiz_gthe3_cpll_cal_txoutclk_period_in, gtwiz_gthe3_cpll_cal_cnt_tol_in, gtwiz_gthe3_cpll_cal_bufg_ce_in, gtwiz_gthe4_cpll_cal_txoutclk_period_in, gtwiz_gthe4_cpll_cal_cnt_tol_in, gtwiz_gthe4_cpll_cal_bufg_ce_in, gtwiz_gtye4_cpll_cal_txoutclk_period_in, gtwiz_gtye4_cpll_cal_cnt_tol_in, gtwiz_gtye4_cpll_cal_bufg_ce_in, gtwiz_userdata_tx_in, gtwiz_userdata_rx_out, bgbypassb_in, bgmonitorenb_in, bgpdb_in, bgrcalovrd_in, bgrcalovrdenb_in, drpaddr_common_in, drpclk_common_in, drpdi_common_in, drpen_common_in, drpwe_common_in, gtgrefclk0_in, gtgrefclk1_in, gtnorthrefclk00_in, gtnorthrefclk01_in, gtnorthrefclk10_in, gtnorthrefclk11_in, gtrefclk00_in, gtrefclk01_in, gtrefclk10_in, gtrefclk11_in, gtsouthrefclk00_in, gtsouthrefclk01_in, gtsouthrefclk10_in, gtsouthrefclk11_in, pcierateqpll0_in, pcierateqpll1_in, pmarsvd0_in, pmarsvd1_in, qpll0clkrsvd0_in, qpll0clkrsvd1_in, qpll0fbdiv_in, qpll0lockdetclk_in, qpll0locken_in, qpll0pd_in, qpll0refclksel_in, qpll0reset_in, qpll1clkrsvd0_in, qpll1clkrsvd1_in, qpll1fbdiv_in, qpll1lockdetclk_in, qpll1locken_in, qpll1pd_in, qpll1refclksel_in, qpll1reset_in, qpllrsvd1_in, qpllrsvd2_in, qpllrsvd3_in, qpllrsvd4_in, rcalenb_in, sdm0data_in, sdm0reset_in, sdm0toggle_in, sdm0width_in, sdm1data_in, sdm1reset_in, sdm1toggle_in, sdm1width_in, tcongpi_in, tconpowerup_in, tconreset_in, tconrsvdin1_in, ubcfgstreamen_in, ubdo_in, ubdrdy_in, ubenable_in, ubgpi_in, ubintr_in, ubiolmbrst_in, ubmbrst_in, ubmdmcapture_in, ubmdmdbgrst_in, ubmdmdbgupdate_in, ubmdmregen_in, ubmdmshift_in, ubmdmsysrst_in, ubmdmtck_in, ubmdmtdi_in, drpdo_common_out, drprdy_common_out, pmarsvdout0_out, pmarsvdout1_out, qpll0fbclklost_out, qpll0lock_out, qpll0outclk_out, qpll0outrefclk_out, qpll0refclklost_out, qpll1fbclklost_out, qpll1lock_out, qpll1outclk_out, qpll1outrefclk_out, qpll1refclklost_out, qplldmonitor0_out, qplldmonitor1_out, refclkoutmonitor0_out, refclkoutmonitor1_out, rxrecclk0_sel_out, rxrecclk1_sel_out, rxrecclk0sel_out, rxrecclk1sel_out, sdm0finalout_out, sdm0testdata_out, sdm1finalout_out, sdm1testdata_out, tcongpo_out, tconrsvdout0_out, ubdaddr_out, ubden_out, ubdi_out, ubdwe_out, ubmdmtdo_out, ubrsvdout_out, ubtxuart_out, cdrstepdir_in, cdrstepsq_in, cdrstepsx_in, cfgreset_in, clkrsvd0_in, clkrsvd1_in, cpllfreqlock_in, cplllockdetclk_in, cplllocken_in, cpllpd_in, cpllrefclksel_in, cpllreset_in, dmonfiforeset_in, dmonitorclk_in, drpaddr_in, drpclk_in, drpdi_in, drpen_in, drprst_in, drpwe_in, elpcaldvorwren_in, elpcalpaorwren_in, evoddphicaldone_in, evoddphicalstart_in, evoddphidrden_in, evoddphidwren_in, evoddphixrden_in, evoddphixwren_in, eyescanmode_in, eyescanreset_in, eyescantrigger_in, freqos_in, gtgrefclk_in, gthrxn_in, gthrxp_in, gtnorthrefclk0_in, gtnorthrefclk1_in, gtrefclk0_in, gtrefclk1_in, gtresetsel_in, gtrsvd_in, gtrxreset_in, gtrxresetsel_in, gtsouthrefclk0_in, gtsouthrefclk1_in, gttxreset_in, gttxresetsel_in, incpctrl_in, gtyrxn_in, gtyrxp_in, loopback_in, looprsvd_in, lpbkrxtxseren_in, lpbktxrxseren_in, pcieeqrxeqadaptdone_in, pcierstidle_in, pciersttxsyncstart_in, pcieuserratedone_in, pcsrsvdin_in, pcsrsvdin2_in, pmarsvdin_in, qpll0clk_in, qpll0freqlock_in, qpll0refclk_in, qpll1clk_in, qpll1freqlock_in, qpll1refclk_in, resetovrd_in, rstclkentx_in, rx8b10ben_in, rxafecfoken_in, rxbufreset_in, rxcdrfreqreset_in, rxcdrhold_in, rxcdrovrden_in, rxcdrreset_in, rxcdrresetrsv_in, rxchbonden_in, rxchbondi_in, rxchbondlevel_in, rxchbondmaster_in, rxchbondslave_in, rxckcalreset_in, rxckcalstart_in, rxcommadeten_in, rxdfeagcctrl_in, rxdccforcestart_in, rxdfeagchold_in, rxdfeagcovrden_in, rxdfecfokfcnum_in, rxdfecfokfen_in, rxdfecfokfpulse_in, rxdfecfokhold_in, rxdfecfokovren_in, rxdfekhhold_in, rxdfekhovrden_in, rxdfelfhold_in, rxdfelfovrden_in, rxdfelpmreset_in, rxdfetap10hold_in, rxdfetap10ovrden_in, rxdfetap11hold_in, rxdfetap11ovrden_in, rxdfetap12hold_in, rxdfetap12ovrden_in, rxdfetap13hold_in, rxdfetap13ovrden_in, rxdfetap14hold_in, rxdfetap14ovrden_in, rxdfetap15hold_in, rxdfetap15ovrden_in, rxdfetap2hold_in, rxdfetap2ovrden_in, rxdfetap3hold_in, rxdfetap3ovrden_in, rxdfetap4hold_in, rxdfetap4ovrden_in, rxdfetap5hold_in, rxdfetap5ovrden_in, rxdfetap6hold_in, rxdfetap6ovrden_in, rxdfetap7hold_in, rxdfetap7ovrden_in, rxdfetap8hold_in, rxdfetap8ovrden_in, rxdfetap9hold_in, rxdfetap9ovrden_in, rxdfeuthold_in, rxdfeutovrden_in, rxdfevphold_in, rxdfevpovrden_in, rxdfevsen_in, rxdfexyden_in, rxdlybypass_in, rxdlyen_in, rxdlyovrden_in, rxdlysreset_in, rxelecidlemode_in, rxeqtraining_in, rxgearboxslip_in, rxlatclk_in, rxlpmen_in, rxlpmgchold_in, rxlpmgcovrden_in, rxlpmhfhold_in, rxlpmhfovrden_in, rxlpmlfhold_in, rxlpmlfklovrden_in, rxlpmoshold_in, rxlpmosovrden_in, rxmcommaalignen_in, rxmonitorsel_in, rxoobreset_in, rxoscalreset_in, rxoshold_in, rxosintcfg_in, rxosinten_in, rxosinthold_in, rxosintovrden_in, rxosintstrobe_in, rxosinttestovrden_in, rxosovrden_in, rxoutclksel_in, rxpcommaalignen_in, rxpcsreset_in, rxpd_in, rxphalign_in, rxphalignen_in, rxphdlypd_in, rxphdlyreset_in, rxphovrden_in, rxpllclksel_in, rxpmareset_in, rxpolarity_in, rxprbscntreset_in, rxprbssel_in, rxprogdivreset_in, rxqpien_in, rxrate_in, rxratemode_in, rxslide_in, rxslipoutclk_in, rxslippma_in, rxsyncallin_in, rxsyncin_in, rxsyncmode_in, rxsysclksel_in, rxtermination_in, rxuserrdy_in, rxusrclk_in, rxusrclk2_in, sigvalidclk_in, tstin_in, tx8b10bbypass_in, tx8b10ben_in, txbufdiffctrl_in, txcominit_in, txcomsas_in, txcomwake_in, txctrl0_in, txctrl1_in, txctrl2_in, txdata_in, txdataextendrsvd_in, txdccforcestart_in, txdccreset_in, txdeemph_in, txdetectrx_in, txdiffctrl_in, txdiffpd_in, txdlybypass_in, txdlyen_in, txdlyhold_in, txdlyovrden_in, txdlysreset_in, txdlyupdown_in, txelecidle_in, txelforcestart_in, txheader_in, txinhibit_in, txlatclk_in, txlfpstreset_in, txlfpsu2lpexit_in, txlfpsu3wake_in, txmaincursor_in, txmargin_in, txmuxdcdexhold_in, txmuxdcdorwren_in, txoneszeros_in, txoutclksel_in, txpcsreset_in, txpd_in, txpdelecidlemode_in, txphalign_in, txphalignen_in, txphdlypd_in, txphdlyreset_in, txphdlytstclk_in, txphinit_in, txphovrden_in, txpippmen_in, txpippmovrden_in, txpippmpd_in, txpippmsel_in, txpippmstepsize_in, txpisopd_in, txpllclksel_in, txpmareset_in, txpolarity_in, txpostcursor_in, txpostcursorinv_in, txprbsforceerr_in, txprbssel_in, txprecursor_in, txprecursorinv_in, txprogdivreset_in, txqpibiasen_in, txqpistrongpdown_in, txqpiweakpup_in, txrate_in, txratemode_in, txsequence_in, txswing_in, txsyncallin_in, txsyncin_in, txsyncmode_in, txsysclksel_in, txuserrdy_in, txusrclk_in, txusrclk2_in, bufgtce_out, bufgtcemask_out, bufgtdiv_out, bufgtreset_out, bufgtrstmask_out, cpllfbclklost_out, cplllock_out, cpllrefclklost_out, dmonitorout_out, dmonitoroutclk_out, drpdo_out, drprdy_out, eyescandataerror_out, gthtxn_out, gthtxp_out, gtpowergood_out, gtrefclkmonitor_out, gtytxn_out, gtytxp_out, pcierategen3_out, pcierateidle_out, pcierateqpllpd_out, pcierateqpllreset_out, pciesynctxsyncdone_out, pcieusergen3rdy_out, pcieuserphystatusrst_out, pcieuserratestart_out, pcsrsvdout_out, phystatus_out, pinrsrvdas_out, powerpresent_out, resetexception_out, rxbufstatus_out, rxbyteisaligned_out, rxbyterealign_out, rxcdrlock_out, rxcdrphdone_out, rxchanbondseq_out, rxchanisaligned_out, rxchanrealign_out, rxchbondo_out, rxckcaldone_out, rxclkcorcnt_out, rxcominitdet_out, rxcommadet_out, rxcomsasdet_out, rxcomwakedet_out, rxctrl0_out, rxctrl1_out, rxctrl2_out, rxctrl3_out, rxdata_out, rxdataextendrsvd_out, rxdatavalid_out, rxdlysresetdone_out, rxelecidle_out, rxheader_out, rxheadervalid_out, rxlfpstresetdet_out, rxlfpsu2lpexitdet_out, rxlfpsu3wakedet_out, rxmonitorout_out, rxosintdone_out, rxosintstarted_out, rxosintstrobedone_out, rxosintstrobestarted_out, rxoutclk_out, rxoutclkfabric_out, rxoutclkpcs_out, rxphaligndone_out, rxphalignerr_out, rxpmaresetdone_out, rxprbserr_out, rxprbslocked_out, rxprgdivresetdone_out, rxqpisenn_out, rxqpisenp_out, rxratedone_out, rxrecclkout_out, rxresetdone_out, rxsliderdy_out, rxslipdone_out, rxslipoutclkrdy_out, rxslippmardy_out, rxstartofseq_out, rxstatus_out, rxsyncdone_out, rxsyncout_out, rxvalid_out, txbufstatus_out, txcomfinish_out, txdccdone_out, txdlysresetdone_out, txoutclk_out, txoutclkfabric_out, txoutclkpcs_out, txphaligndone_out, txphinitdone_out, txpmaresetdone_out, txprgdivresetdone_out, txqpisenn_out, txqpisenp_out, txratedone_out, txresetdone_out, txsyncdone_out, txsyncout_out); input [0:0]gtwiz_userclk_tx_reset_in; input [0:0]gtwiz_userclk_tx_active_in; output [0:0]gtwiz_userclk_tx_srcclk_out; output [0:0]gtwiz_userclk_tx_usrclk_out; output [0:0]gtwiz_userclk_tx_usrclk2_out; output [0:0]gtwiz_userclk_tx_active_out; input [0:0]gtwiz_userclk_rx_reset_in; input [0:0]gtwiz_userclk_rx_active_in; output [0:0]gtwiz_userclk_rx_srcclk_out; output [0:0]gtwiz_userclk_rx_usrclk_out; output [0:0]gtwiz_userclk_rx_usrclk2_out; output [0:0]gtwiz_userclk_rx_active_out; input [0:0]gtwiz_buffbypass_tx_reset_in; input [0:0]gtwiz_buffbypass_tx_start_user_in; output [0:0]gtwiz_buffbypass_tx_done_out; output [0:0]gtwiz_buffbypass_tx_error_out; input [0:0]gtwiz_buffbypass_rx_reset_in; input [0:0]gtwiz_buffbypass_rx_start_user_in; output [0:0]gtwiz_buffbypass_rx_done_out; output [0:0]gtwiz_buffbypass_rx_error_out; input [0:0]gtwiz_reset_clk_freerun_in; input [0:0]gtwiz_reset_all_in; input [0:0]gtwiz_reset_tx_pll_and_datapath_in; input [0:0]gtwiz_reset_tx_datapath_in; input [0:0]gtwiz_reset_rx_pll_and_datapath_in; input [0:0]gtwiz_reset_rx_datapath_in; input [0:0]gtwiz_reset_tx_done_in; input [0:0]gtwiz_reset_rx_done_in; input [0:0]gtwiz_reset_qpll0lock_in; input [0:0]gtwiz_reset_qpll1lock_in; output [0:0]gtwiz_reset_rx_cdr_stable_out; output [0:0]gtwiz_reset_tx_done_out; output [0:0]gtwiz_reset_rx_done_out; output [0:0]gtwiz_reset_qpll0reset_out; output [0:0]gtwiz_reset_qpll1reset_out; input [17:0]gtwiz_gthe3_cpll_cal_txoutclk_period_in; input [17:0]gtwiz_gthe3_cpll_cal_cnt_tol_in; input [0:0]gtwiz_gthe3_cpll_cal_bufg_ce_in; input [17:0]gtwiz_gthe4_cpll_cal_txoutclk_period_in; input [17:0]gtwiz_gthe4_cpll_cal_cnt_tol_in; input [0:0]gtwiz_gthe4_cpll_cal_bufg_ce_in; input [17:0]gtwiz_gtye4_cpll_cal_txoutclk_period_in; input [17:0]gtwiz_gtye4_cpll_cal_cnt_tol_in; input [0:0]gtwiz_gtye4_cpll_cal_bufg_ce_in; input [31:0]gtwiz_userdata_tx_in; output [31:0]gtwiz_userdata_rx_out; input [0:0]bgbypassb_in; input [0:0]bgmonitorenb_in; input [0:0]bgpdb_in; input [4:0]bgrcalovrd_in; input [0:0]bgrcalovrdenb_in; input [8:0]drpaddr_common_in; input [0:0]drpclk_common_in; input [15:0]drpdi_common_in; input [0:0]drpen_common_in; input [0:0]drpwe_common_in; input [0:0]gtgrefclk0_in; input [0:0]gtgrefclk1_in; input [0:0]gtnorthrefclk00_in; input [0:0]gtnorthrefclk01_in; input [0:0]gtnorthrefclk10_in; input [0:0]gtnorthrefclk11_in; input [0:0]gtrefclk00_in; input [0:0]gtrefclk01_in; input [0:0]gtrefclk10_in; input [0:0]gtrefclk11_in; input [0:0]gtsouthrefclk00_in; input [0:0]gtsouthrefclk01_in; input [0:0]gtsouthrefclk10_in; input [0:0]gtsouthrefclk11_in; input [0:0]pcierateqpll0_in; input [0:0]pcierateqpll1_in; input [7:0]pmarsvd0_in; input [7:0]pmarsvd1_in; input [0:0]qpll0clkrsvd0_in; input [0:0]qpll0clkrsvd1_in; input [0:0]qpll0fbdiv_in; input [0:0]qpll0lockdetclk_in; input [0:0]qpll0locken_in; input [0:0]qpll0pd_in; input [2:0]qpll0refclksel_in; input [0:0]qpll0reset_in; input [0:0]qpll1clkrsvd0_in; input [0:0]qpll1clkrsvd1_in; input [0:0]qpll1fbdiv_in; input [0:0]qpll1lockdetclk_in; input [0:0]qpll1locken_in; input [0:0]qpll1pd_in; input [2:0]qpll1refclksel_in; input [0:0]qpll1reset_in; input [7:0]qpllrsvd1_in; input [4:0]qpllrsvd2_in; input [4:0]qpllrsvd3_in; input [7:0]qpllrsvd4_in; input [0:0]rcalenb_in; input [0:0]sdm0data_in; input [0:0]sdm0reset_in; input [0:0]sdm0toggle_in; input [0:0]sdm0width_in; input [0:0]sdm1data_in; input [0:0]sdm1reset_in; input [0:0]sdm1toggle_in; input [0:0]sdm1width_in; input [0:0]tcongpi_in; input [0:0]tconpowerup_in; input [0:0]tconreset_in; input [0:0]tconrsvdin1_in; input [0:0]ubcfgstreamen_in; input [0:0]ubdo_in; input [0:0]ubdrdy_in; input [0:0]ubenable_in; input [0:0]ubgpi_in; input [0:0]ubintr_in; input [0:0]ubiolmbrst_in; input [0:0]ubmbrst_in; input [0:0]ubmdmcapture_in; input [0:0]ubmdmdbgrst_in; input [0:0]ubmdmdbgupdate_in; input [0:0]ubmdmregen_in; input [0:0]ubmdmshift_in; input [0:0]ubmdmsysrst_in; input [0:0]ubmdmtck_in; input [0:0]ubmdmtdi_in; output [15:0]drpdo_common_out; output [0:0]drprdy_common_out; output [7:0]pmarsvdout0_out; output [7:0]pmarsvdout1_out; output [0:0]qpll0fbclklost_out; output [0:0]qpll0lock_out; output [0:0]qpll0outclk_out; output [0:0]qpll0outrefclk_out; output [0:0]qpll0refclklost_out; output [0:0]qpll1fbclklost_out; output [0:0]qpll1lock_out; output [0:0]qpll1outclk_out; output [0:0]qpll1outrefclk_out; output [0:0]qpll1refclklost_out; output [7:0]qplldmonitor0_out; output [7:0]qplldmonitor1_out; output [0:0]refclkoutmonitor0_out; output [0:0]refclkoutmonitor1_out; output [1:0]rxrecclk0_sel_out; output [1:0]rxrecclk1_sel_out; output [0:0]rxrecclk0sel_out; output [0:0]rxrecclk1sel_out; output [0:0]sdm0finalout_out; output [0:0]sdm0testdata_out; output [0:0]sdm1finalout_out; output [0:0]sdm1testdata_out; output [0:0]tcongpo_out; output [0:0]tconrsvdout0_out; output [0:0]ubdaddr_out; output [0:0]ubden_out; output [0:0]ubdi_out; output [0:0]ubdwe_out; output [0:0]ubmdmtdo_out; output [0:0]ubrsvdout_out; output [0:0]ubtxuart_out; input [0:0]cdrstepdir_in; input [0:0]cdrstepsq_in; input [0:0]cdrstepsx_in; input [0:0]cfgreset_in; input [0:0]clkrsvd0_in; input [0:0]clkrsvd1_in; input [0:0]cpllfreqlock_in; input [0:0]cplllockdetclk_in; input [0:0]cplllocken_in; input [0:0]cpllpd_in; input [2:0]cpllrefclksel_in; input [0:0]cpllreset_in; input [0:0]dmonfiforeset_in; input [0:0]dmonitorclk_in; input [8:0]drpaddr_in; input [0:0]drpclk_in; input [15:0]drpdi_in; input [0:0]drpen_in; input [0:0]drprst_in; input [0:0]drpwe_in; input [0:0]elpcaldvorwren_in; input [0:0]elpcalpaorwren_in; input [0:0]evoddphicaldone_in; input [0:0]evoddphicalstart_in; input [0:0]evoddphidrden_in; input [0:0]evoddphidwren_in; input [0:0]evoddphixrden_in; input [0:0]evoddphixwren_in; input [0:0]eyescanmode_in; input [0:0]eyescanreset_in; input [0:0]eyescantrigger_in; input [0:0]freqos_in; input [0:0]gtgrefclk_in; input [0:0]gthrxn_in; input [0:0]gthrxp_in; input [0:0]gtnorthrefclk0_in; input [0:0]gtnorthrefclk1_in; input [0:0]gtrefclk0_in; input [0:0]gtrefclk1_in; input [0:0]gtresetsel_in; input [15:0]gtrsvd_in; input [0:0]gtrxreset_in; input [0:0]gtrxresetsel_in; input [0:0]gtsouthrefclk0_in; input [0:0]gtsouthrefclk1_in; input [0:0]gttxreset_in; input [0:0]gttxresetsel_in; input [0:0]incpctrl_in; input [0:0]gtyrxn_in; input [0:0]gtyrxp_in; input [2:0]loopback_in; input [0:0]looprsvd_in; input [0:0]lpbkrxtxseren_in; input [0:0]lpbktxrxseren_in; input [0:0]pcieeqrxeqadaptdone_in; input [0:0]pcierstidle_in; input [0:0]pciersttxsyncstart_in; input [0:0]pcieuserratedone_in; input [15:0]pcsrsvdin_in; input [4:0]pcsrsvdin2_in; input [4:0]pmarsvdin_in; input [0:0]qpll0clk_in; input [0:0]qpll0freqlock_in; input [0:0]qpll0refclk_in; input [0:0]qpll1clk_in; input [0:0]qpll1freqlock_in; input [0:0]qpll1refclk_in; input [0:0]resetovrd_in; input [0:0]rstclkentx_in; input [0:0]rx8b10ben_in; input [0:0]rxafecfoken_in; input [0:0]rxbufreset_in; input [0:0]rxcdrfreqreset_in; input [0:0]rxcdrhold_in; input [0:0]rxcdrovrden_in; input [0:0]rxcdrreset_in; input [0:0]rxcdrresetrsv_in; input [0:0]rxchbonden_in; input [4:0]rxchbondi_in; input [2:0]rxchbondlevel_in; input [0:0]rxchbondmaster_in; input [0:0]rxchbondslave_in; input [0:0]rxckcalreset_in; input [0:0]rxckcalstart_in; input [0:0]rxcommadeten_in; input [1:0]rxdfeagcctrl_in; input [0:0]rxdccforcestart_in; input [0:0]rxdfeagchold_in; input [0:0]rxdfeagcovrden_in; input [0:0]rxdfecfokfcnum_in; input [0:0]rxdfecfokfen_in; input [0:0]rxdfecfokfpulse_in; input [0:0]rxdfecfokhold_in; input [0:0]rxdfecfokovren_in; input [0:0]rxdfekhhold_in; input [0:0]rxdfekhovrden_in; input [0:0]rxdfelfhold_in; input [0:0]rxdfelfovrden_in; input [0:0]rxdfelpmreset_in; input [0:0]rxdfetap10hold_in; input [0:0]rxdfetap10ovrden_in; input [0:0]rxdfetap11hold_in; input [0:0]rxdfetap11ovrden_in; input [0:0]rxdfetap12hold_in; input [0:0]rxdfetap12ovrden_in; input [0:0]rxdfetap13hold_in; input [0:0]rxdfetap13ovrden_in; input [0:0]rxdfetap14hold_in; input [0:0]rxdfetap14ovrden_in; input [0:0]rxdfetap15hold_in; input [0:0]rxdfetap15ovrden_in; input [0:0]rxdfetap2hold_in; input [0:0]rxdfetap2ovrden_in; input [0:0]rxdfetap3hold_in; input [0:0]rxdfetap3ovrden_in; input [0:0]rxdfetap4hold_in; input [0:0]rxdfetap4ovrden_in; input [0:0]rxdfetap5hold_in; input [0:0]rxdfetap5ovrden_in; input [0:0]rxdfetap6hold_in; input [0:0]rxdfetap6ovrden_in; input [0:0]rxdfetap7hold_in; input [0:0]rxdfetap7ovrden_in; input [0:0]rxdfetap8hold_in; input [0:0]rxdfetap8ovrden_in; input [0:0]rxdfetap9hold_in; input [0:0]rxdfetap9ovrden_in; input [0:0]rxdfeuthold_in; input [0:0]rxdfeutovrden_in; input [0:0]rxdfevphold_in; input [0:0]rxdfevpovrden_in; input [0:0]rxdfevsen_in; input [0:0]rxdfexyden_in; input [0:0]rxdlybypass_in; input [0:0]rxdlyen_in; input [0:0]rxdlyovrden_in; input [0:0]rxdlysreset_in; input [1:0]rxelecidlemode_in; input [0:0]rxeqtraining_in; input [0:0]rxgearboxslip_in; input [0:0]rxlatclk_in; input [0:0]rxlpmen_in; input [0:0]rxlpmgchold_in; input [0:0]rxlpmgcovrden_in; input [0:0]rxlpmhfhold_in; input [0:0]rxlpmhfovrden_in; input [0:0]rxlpmlfhold_in; input [0:0]rxlpmlfklovrden_in; input [0:0]rxlpmoshold_in; input [0:0]rxlpmosovrden_in; input [0:0]rxmcommaalignen_in; input [1:0]rxmonitorsel_in; input [0:0]rxoobreset_in; input [0:0]rxoscalreset_in; input [0:0]rxoshold_in; input [3:0]rxosintcfg_in; input [0:0]rxosinten_in; input [0:0]rxosinthold_in; input [0:0]rxosintovrden_in; input [0:0]rxosintstrobe_in; input [0:0]rxosinttestovrden_in; input [0:0]rxosovrden_in; input [2:0]rxoutclksel_in; input [0:0]rxpcommaalignen_in; input [0:0]rxpcsreset_in; input [1:0]rxpd_in; input [0:0]rxphalign_in; input [0:0]rxphalignen_in; input [0:0]rxphdlypd_in; input [0:0]rxphdlyreset_in; input [0:0]rxphovrden_in; input [1:0]rxpllclksel_in; input [0:0]rxpmareset_in; input [0:0]rxpolarity_in; input [0:0]rxprbscntreset_in; input [3:0]rxprbssel_in; input [0:0]rxprogdivreset_in; input [0:0]rxqpien_in; input [2:0]rxrate_in; input [0:0]rxratemode_in; input [0:0]rxslide_in; input [0:0]rxslipoutclk_in; input [0:0]rxslippma_in; input [0:0]rxsyncallin_in; input [0:0]rxsyncin_in; input [0:0]rxsyncmode_in; input [1:0]rxsysclksel_in; input [0:0]rxtermination_in; input [0:0]rxuserrdy_in; input [0:0]rxusrclk_in; input [0:0]rxusrclk2_in; input [0:0]sigvalidclk_in; input [19:0]tstin_in; input [7:0]tx8b10bbypass_in; input [0:0]tx8b10ben_in; input [2:0]txbufdiffctrl_in; input [0:0]txcominit_in; input [0:0]txcomsas_in; input [0:0]txcomwake_in; input [15:0]txctrl0_in; input [15:0]txctrl1_in; input [7:0]txctrl2_in; input [127:0]txdata_in; input [7:0]txdataextendrsvd_in; input [0:0]txdccforcestart_in; input [0:0]txdccreset_in; input [0:0]txdeemph_in; input [0:0]txdetectrx_in; input [3:0]txdiffctrl_in; input [0:0]txdiffpd_in; input [0:0]txdlybypass_in; input [0:0]txdlyen_in; input [0:0]txdlyhold_in; input [0:0]txdlyovrden_in; input [0:0]txdlysreset_in; input [0:0]txdlyupdown_in; input [0:0]txelecidle_in; input [0:0]txelforcestart_in; input [5:0]txheader_in; input [0:0]txinhibit_in; input [0:0]txlatclk_in; input [0:0]txlfpstreset_in; input [0:0]txlfpsu2lpexit_in; input [0:0]txlfpsu3wake_in; input [6:0]txmaincursor_in; input [2:0]txmargin_in; input [0:0]txmuxdcdexhold_in; input [0:0]txmuxdcdorwren_in; input [0:0]txoneszeros_in; input [2:0]txoutclksel_in; input [0:0]txpcsreset_in; input [1:0]txpd_in; input [0:0]txpdelecidlemode_in; input [0:0]txphalign_in; input [0:0]txphalignen_in; input [0:0]txphdlypd_in; input [0:0]txphdlyreset_in; input [0:0]txphdlytstclk_in; input [0:0]txphinit_in; input [0:0]txphovrden_in; input [0:0]txpippmen_in; input [0:0]txpippmovrden_in; input [0:0]txpippmpd_in; input [0:0]txpippmsel_in; input [4:0]txpippmstepsize_in; input [0:0]txpisopd_in; input [1:0]txpllclksel_in; input [0:0]txpmareset_in; input [0:0]txpolarity_in; input [4:0]txpostcursor_in; input [0:0]txpostcursorinv_in; input [0:0]txprbsforceerr_in; input [3:0]txprbssel_in; input [4:0]txprecursor_in; input [0:0]txprecursorinv_in; input [0:0]txprogdivreset_in; input [0:0]txqpibiasen_in; input [0:0]txqpistrongpdown_in; input [0:0]txqpiweakpup_in; input [2:0]txrate_in; input [0:0]txratemode_in; input [6:0]txsequence_in; input [0:0]txswing_in; input [0:0]txsyncallin_in; input [0:0]txsyncin_in; input [0:0]txsyncmode_in; input [1:0]txsysclksel_in; input [0:0]txuserrdy_in; input [0:0]txusrclk_in; input [0:0]txusrclk2_in; output [2:0]bufgtce_out; output [2:0]bufgtcemask_out; output [8:0]bufgtdiv_out; output [2:0]bufgtreset_out; output [2:0]bufgtrstmask_out; output [0:0]cpllfbclklost_out; output [0:0]cplllock_out; output [0:0]cpllrefclklost_out; output [16:0]dmonitorout_out; output [0:0]dmonitoroutclk_out; output [15:0]drpdo_out; output [0:0]drprdy_out; output [0:0]eyescandataerror_out; output [0:0]gthtxn_out; output [0:0]gthtxp_out; output [0:0]gtpowergood_out; output [0:0]gtrefclkmonitor_out; output [0:0]gtytxn_out; output [0:0]gtytxp_out; output [0:0]pcierategen3_out; output [0:0]pcierateidle_out; output [1:0]pcierateqpllpd_out; output [1:0]pcierateqpllreset_out; output [0:0]pciesynctxsyncdone_out; output [0:0]pcieusergen3rdy_out; output [0:0]pcieuserphystatusrst_out; output [0:0]pcieuserratestart_out; output [11:0]pcsrsvdout_out; output [0:0]phystatus_out; output [7:0]pinrsrvdas_out; output [0:0]powerpresent_out; output [0:0]resetexception_out; output [2:0]rxbufstatus_out; output [0:0]rxbyteisaligned_out; output [0:0]rxbyterealign_out; output [0:0]rxcdrlock_out; output [0:0]rxcdrphdone_out; output [0:0]rxchanbondseq_out; output [0:0]rxchanisaligned_out; output [0:0]rxchanrealign_out; output [4:0]rxchbondo_out; output [0:0]rxckcaldone_out; output [1:0]rxclkcorcnt_out; output [0:0]rxcominitdet_out; output [0:0]rxcommadet_out; output [0:0]rxcomsasdet_out; output [0:0]rxcomwakedet_out; output [15:0]rxctrl0_out; output [15:0]rxctrl1_out; output [7:0]rxctrl2_out; output [7:0]rxctrl3_out; output [127:0]rxdata_out; output [7:0]rxdataextendrsvd_out; output [1:0]rxdatavalid_out; output [0:0]rxdlysresetdone_out; output [0:0]rxelecidle_out; output [5:0]rxheader_out; output [1:0]rxheadervalid_out; output [0:0]rxlfpstresetdet_out; output [0:0]rxlfpsu2lpexitdet_out; output [0:0]rxlfpsu3wakedet_out; output [6:0]rxmonitorout_out; output [0:0]rxosintdone_out; output [0:0]rxosintstarted_out; output [0:0]rxosintstrobedone_out; output [0:0]rxosintstrobestarted_out; output [0:0]rxoutclk_out; output [0:0]rxoutclkfabric_out; output [0:0]rxoutclkpcs_out; output [0:0]rxphaligndone_out; output [0:0]rxphalignerr_out; output [0:0]rxpmaresetdone_out; output [0:0]rxprbserr_out; output [0:0]rxprbslocked_out; output [0:0]rxprgdivresetdone_out; output [0:0]rxqpisenn_out; output [0:0]rxqpisenp_out; output [0:0]rxratedone_out; output [0:0]rxrecclkout_out; output [0:0]rxresetdone_out; output [0:0]rxsliderdy_out; output [0:0]rxslipdone_out; output [0:0]rxslipoutclkrdy_out; output [0:0]rxslippmardy_out; output [1:0]rxstartofseq_out; output [2:0]rxstatus_out; output [0:0]rxsyncdone_out; output [0:0]rxsyncout_out; output [0:0]rxvalid_out; output [1:0]txbufstatus_out; output [0:0]txcomfinish_out; output [0:0]txdccdone_out; output [0:0]txdlysresetdone_out; output [0:0]txoutclk_out; output [0:0]txoutclkfabric_out; output [0:0]txoutclkpcs_out; output [0:0]txphaligndone_out; output [0:0]txphinitdone_out; output [0:0]txpmaresetdone_out; output [0:0]txprgdivresetdone_out; output [0:0]txqpisenn_out; output [0:0]txqpisenp_out; output [0:0]txratedone_out; output [0:0]txresetdone_out; output [0:0]txsyncdone_out; output [0:0]txsyncout_out; wire \ ; wire [0:0]gthrxn_in; wire [0:0]gthrxp_in; wire [0:0]gthtxn_out; wire [0:0]gthtxp_out; wire [0:0]gtpowergood_out; wire [0:0]gtrefclk00_in; wire [0:0]gtrefclk01_in; wire [0:0]gtrxreset_in; wire [0:0]gtsouthrefclk00_in; wire [0:0]gtsouthrefclk01_in; wire [0:0]gtsouthrefclk10_in; wire [0:0]gtsouthrefclk11_in; wire [0:0]gttxreset_in; wire [0:0]gtwiz_buffbypass_rx_done_out; wire [0:0]gtwiz_buffbypass_rx_error_out; wire [0:0]gtwiz_buffbypass_rx_reset_in; wire [0:0]gtwiz_buffbypass_rx_start_user_in; wire [0:0]gtwiz_reset_rx_done_in; wire [31:0]gtwiz_userdata_rx_out; wire [31:0]gtwiz_userdata_tx_in; wire [2:0]loopback_in; wire [0:0]qpll0lock_out; wire [0:0]qpll0outclk_out; wire [0:0]qpll0outrefclk_out; wire [2:0]qpll0refclksel_in; wire [0:0]qpll0reset_in; wire [0:0]qpll1lock_out; wire [0:0]qpll1outclk_out; wire [0:0]qpll1outrefclk_out; wire [2:0]qpll1refclksel_in; wire [0:0]qpll1reset_in; wire [0:0]rxcdrlock_out; wire [0:0]rxoutclk_out; wire [0:0]rxpmaresetdone_out; wire [0:0]rxpolarity_in; wire [0:0]rxprogdivreset_in; wire [0:0]rxrecclkout_out; wire [0:0]rxresetdone_out; wire [0:0]rxslide_in; wire [0:0]rxuserrdy_in; wire [0:0]rxusrclk2_in; wire [0:0]rxusrclk_in; wire [0:0]txoutclk_out; wire [0:0]txpmaresetdone_out; wire [0:0]txpolarity_in; wire [0:0]txprogdivreset_in; wire [0:0]txresetdone_out; wire [0:0]txuserrdy_in; wire [0:0]txusrclk2_in; wire [0:0]txusrclk_in; assign bufgtce_out[2] = \ ; assign bufgtce_out[1] = \ ; assign bufgtce_out[0] = \ ; assign bufgtcemask_out[2] = \ ; assign bufgtcemask_out[1] = \ ; assign bufgtcemask_out[0] = \ ; assign bufgtdiv_out[8] = \ ; assign bufgtdiv_out[7] = \ ; assign bufgtdiv_out[6] = \ ; assign bufgtdiv_out[5] = \ ; assign bufgtdiv_out[4] = \ ; assign bufgtdiv_out[3] = \ ; assign bufgtdiv_out[2] = \ ; assign bufgtdiv_out[1] = \ ; assign bufgtdiv_out[0] = \ ; assign bufgtreset_out[2] = \ ; assign bufgtreset_out[1] = \ ; assign bufgtreset_out[0] = \ ; assign bufgtrstmask_out[2] = \ ; assign bufgtrstmask_out[1] = \ ; assign bufgtrstmask_out[0] = \ ; assign cpllfbclklost_out[0] = \ ; assign cplllock_out[0] = \ ; assign cpllrefclklost_out[0] = \ ; assign dmonitorout_out[16] = \ ; assign dmonitorout_out[15] = \ ; assign dmonitorout_out[14] = \ ; assign dmonitorout_out[13] = \ ; assign dmonitorout_out[12] = \ ; assign dmonitorout_out[11] = \ ; assign dmonitorout_out[10] = \ ; assign dmonitorout_out[9] = \ ; assign dmonitorout_out[8] = \ ; assign dmonitorout_out[7] = \ ; assign dmonitorout_out[6] = \ ; assign dmonitorout_out[5] = \ ; assign dmonitorout_out[4] = \ ; assign dmonitorout_out[3] = \ ; assign dmonitorout_out[2] = \ ; assign dmonitorout_out[1] = \ ; assign dmonitorout_out[0] = \ ; assign dmonitoroutclk_out[0] = \ ; assign drpdo_common_out[15] = \ ; assign drpdo_common_out[14] = \ ; assign drpdo_common_out[13] = \ ; assign drpdo_common_out[12] = \ ; assign drpdo_common_out[11] = \ ; assign drpdo_common_out[10] = \ ; assign drpdo_common_out[9] = \ ; assign drpdo_common_out[8] = \ ; assign drpdo_common_out[7] = \ ; assign drpdo_common_out[6] = \ ; assign drpdo_common_out[5] = \ ; assign drpdo_common_out[4] = \ ; assign drpdo_common_out[3] = \ ; assign drpdo_common_out[2] = \ ; assign drpdo_common_out[1] = \ ; assign drpdo_common_out[0] = \ ; assign drpdo_out[15] = \ ; assign drpdo_out[14] = \ ; assign drpdo_out[13] = \ ; assign drpdo_out[12] = \ ; assign drpdo_out[11] = \ ; assign drpdo_out[10] = \ ; assign drpdo_out[9] = \ ; assign drpdo_out[8] = \ ; assign drpdo_out[7] = \ ; assign drpdo_out[6] = \ ; assign drpdo_out[5] = \ ; assign drpdo_out[4] = \ ; assign drpdo_out[3] = \ ; assign drpdo_out[2] = \ ; assign drpdo_out[1] = \ ; assign drpdo_out[0] = \ ; assign drprdy_common_out[0] = \ ; assign drprdy_out[0] = \ ; assign eyescandataerror_out[0] = \ ; assign gtrefclkmonitor_out[0] = \ ; assign gtwiz_buffbypass_tx_done_out[0] = \ ; assign gtwiz_buffbypass_tx_error_out[0] = \ ; assign gtwiz_reset_qpll0reset_out[0] = \ ; assign gtwiz_reset_qpll1reset_out[0] = \ ; assign gtwiz_reset_rx_cdr_stable_out[0] = \ ; assign gtwiz_reset_rx_done_out[0] = \ ; assign gtwiz_reset_tx_done_out[0] = \ ; assign gtwiz_userclk_rx_active_out[0] = \ ; assign gtwiz_userclk_rx_srcclk_out[0] = \ ; assign gtwiz_userclk_rx_usrclk2_out[0] = \ ; assign gtwiz_userclk_rx_usrclk_out[0] = \ ; assign gtwiz_userclk_tx_active_out[0] = \ ; assign gtwiz_userclk_tx_srcclk_out[0] = \ ; assign gtwiz_userclk_tx_usrclk2_out[0] = \ ; assign gtwiz_userclk_tx_usrclk_out[0] = \ ; assign gtytxn_out[0] = \ ; assign gtytxp_out[0] = \ ; assign pcierategen3_out[0] = \ ; assign pcierateidle_out[0] = \ ; assign pcierateqpllpd_out[1] = \ ; assign pcierateqpllpd_out[0] = \ ; assign pcierateqpllreset_out[1] = \ ; assign pcierateqpllreset_out[0] = \ ; assign pciesynctxsyncdone_out[0] = \ ; assign pcieusergen3rdy_out[0] = \ ; assign pcieuserphystatusrst_out[0] = \ ; assign pcieuserratestart_out[0] = \ ; assign pcsrsvdout_out[11] = \ ; assign pcsrsvdout_out[10] = \ ; assign pcsrsvdout_out[9] = \ ; assign pcsrsvdout_out[8] = \ ; assign pcsrsvdout_out[7] = \ ; assign pcsrsvdout_out[6] = \ ; assign pcsrsvdout_out[5] = \ ; assign pcsrsvdout_out[4] = \ ; assign pcsrsvdout_out[3] = \ ; assign pcsrsvdout_out[2] = \ ; assign pcsrsvdout_out[1] = \ ; assign pcsrsvdout_out[0] = \ ; assign phystatus_out[0] = \ ; assign pinrsrvdas_out[7] = \ ; assign pinrsrvdas_out[6] = \ ; assign pinrsrvdas_out[5] = \ ; assign pinrsrvdas_out[4] = \ ; assign pinrsrvdas_out[3] = \ ; assign pinrsrvdas_out[2] = \ ; assign pinrsrvdas_out[1] = \ ; assign pinrsrvdas_out[0] = \ ; assign pmarsvdout0_out[7] = \ ; assign pmarsvdout0_out[6] = \ ; assign pmarsvdout0_out[5] = \ ; assign pmarsvdout0_out[4] = \ ; assign pmarsvdout0_out[3] = \ ; assign pmarsvdout0_out[2] = \ ; assign pmarsvdout0_out[1] = \ ; assign pmarsvdout0_out[0] = \ ; assign pmarsvdout1_out[7] = \ ; assign pmarsvdout1_out[6] = \ ; assign pmarsvdout1_out[5] = \ ; assign pmarsvdout1_out[4] = \ ; assign pmarsvdout1_out[3] = \ ; assign pmarsvdout1_out[2] = \ ; assign pmarsvdout1_out[1] = \ ; assign pmarsvdout1_out[0] = \ ; assign powerpresent_out[0] = \ ; assign qpll0fbclklost_out[0] = \ ; assign qpll0refclklost_out[0] = \ ; assign qpll1fbclklost_out[0] = \ ; assign qpll1refclklost_out[0] = \ ; assign qplldmonitor0_out[7] = \ ; assign qplldmonitor0_out[6] = \ ; assign qplldmonitor0_out[5] = \ ; assign qplldmonitor0_out[4] = \ ; assign qplldmonitor0_out[3] = \ ; assign qplldmonitor0_out[2] = \ ; assign qplldmonitor0_out[1] = \ ; assign qplldmonitor0_out[0] = \ ; assign qplldmonitor1_out[7] = \ ; assign qplldmonitor1_out[6] = \ ; assign qplldmonitor1_out[5] = \ ; assign qplldmonitor1_out[4] = \ ; assign qplldmonitor1_out[3] = \ ; assign qplldmonitor1_out[2] = \ ; assign qplldmonitor1_out[1] = \ ; assign qplldmonitor1_out[0] = \ ; assign refclkoutmonitor0_out[0] = \ ; assign refclkoutmonitor1_out[0] = \ ; assign resetexception_out[0] = \ ; assign rxbufstatus_out[2] = \ ; assign rxbufstatus_out[1] = \ ; assign rxbufstatus_out[0] = \ ; assign rxbyteisaligned_out[0] = \ ; assign rxbyterealign_out[0] = \ ; assign rxcdrphdone_out[0] = \ ; assign rxchanbondseq_out[0] = \ ; assign rxchanisaligned_out[0] = \ ; assign rxchanrealign_out[0] = \ ; assign rxchbondo_out[4] = \ ; assign rxchbondo_out[3] = \ ; assign rxchbondo_out[2] = \ ; assign rxchbondo_out[1] = \ ; assign rxchbondo_out[0] = \ ; assign rxckcaldone_out[0] = \ ; assign rxclkcorcnt_out[1] = \ ; assign rxclkcorcnt_out[0] = \ ; assign rxcominitdet_out[0] = \ ; assign rxcommadet_out[0] = \ ; assign rxcomsasdet_out[0] = \ ; assign rxcomwakedet_out[0] = \ ; assign rxctrl0_out[15] = \ ; assign rxctrl0_out[14] = \ ; assign rxctrl0_out[13] = \ ; assign rxctrl0_out[12] = \ ; assign rxctrl0_out[11] = \ ; assign rxctrl0_out[10] = \ ; assign rxctrl0_out[9] = \ ; assign rxctrl0_out[8] = \ ; assign rxctrl0_out[7] = \ ; assign rxctrl0_out[6] = \ ; assign rxctrl0_out[5] = \ ; assign rxctrl0_out[4] = \ ; assign rxctrl0_out[3] = \ ; assign rxctrl0_out[2] = \ ; assign rxctrl0_out[1] = \ ; assign rxctrl0_out[0] = \ ; assign rxctrl1_out[15] = \ ; assign rxctrl1_out[14] = \ ; assign rxctrl1_out[13] = \ ; assign rxctrl1_out[12] = \ ; assign rxctrl1_out[11] = \ ; assign rxctrl1_out[10] = \ ; assign rxctrl1_out[9] = \ ; assign rxctrl1_out[8] = \ ; assign rxctrl1_out[7] = \ ; assign rxctrl1_out[6] = \ ; assign rxctrl1_out[5] = \ ; assign rxctrl1_out[4] = \ ; assign rxctrl1_out[3] = \ ; assign rxctrl1_out[2] = \ ; assign rxctrl1_out[1] = \ ; assign rxctrl1_out[0] = \ ; assign rxctrl2_out[7] = \ ; assign rxctrl2_out[6] = \ ; assign rxctrl2_out[5] = \ ; assign rxctrl2_out[4] = \ ; assign rxctrl2_out[3] = \ ; assign rxctrl2_out[2] = \ ; assign rxctrl2_out[1] = \ ; assign rxctrl2_out[0] = \ ; assign rxctrl3_out[7] = \ ; assign rxctrl3_out[6] = \ ; assign rxctrl3_out[5] = \ ; assign rxctrl3_out[4] = \ ; assign rxctrl3_out[3] = \ ; assign rxctrl3_out[2] = \ ; assign rxctrl3_out[1] = \ ; assign rxctrl3_out[0] = \ ; assign rxdata_out[127] = \ ; assign rxdata_out[126] = \ ; assign rxdata_out[125] = \ ; assign rxdata_out[124] = \ ; assign rxdata_out[123] = \ ; assign rxdata_out[122] = \ ; assign rxdata_out[121] = \ ; assign rxdata_out[120] = \ ; assign rxdata_out[119] = \ ; assign rxdata_out[118] = \ ; assign rxdata_out[117] = \ ; assign rxdata_out[116] = \ ; assign rxdata_out[115] = \ ; assign rxdata_out[114] = \ ; assign rxdata_out[113] = \ ; assign rxdata_out[112] = \ ; assign rxdata_out[111] = \ ; assign rxdata_out[110] = \ ; assign rxdata_out[109] = \ ; assign rxdata_out[108] = \ ; assign rxdata_out[107] = \ ; assign rxdata_out[106] = \ ; assign rxdata_out[105] = \ ; assign rxdata_out[104] = \ ; assign rxdata_out[103] = \ ; assign rxdata_out[102] = \ ; assign rxdata_out[101] = \ ; assign rxdata_out[100] = \ ; assign rxdata_out[99] = \ ; assign rxdata_out[98] = \ ; assign rxdata_out[97] = \ ; assign rxdata_out[96] = \ ; assign rxdata_out[95] = \ ; assign rxdata_out[94] = \ ; assign rxdata_out[93] = \ ; assign rxdata_out[92] = \ ; assign rxdata_out[91] = \ ; assign rxdata_out[90] = \ ; assign rxdata_out[89] = \ ; assign rxdata_out[88] = \ ; assign rxdata_out[87] = \ ; assign rxdata_out[86] = \ ; assign rxdata_out[85] = \ ; assign rxdata_out[84] = \ ; assign rxdata_out[83] = \ ; assign rxdata_out[82] = \ ; assign rxdata_out[81] = \ ; assign rxdata_out[80] = \ ; assign rxdata_out[79] = \ ; assign rxdata_out[78] = \ ; assign rxdata_out[77] = \ ; assign rxdata_out[76] = \ ; assign rxdata_out[75] = \ ; assign rxdata_out[74] = \ ; assign rxdata_out[73] = \ ; assign rxdata_out[72] = \ ; assign rxdata_out[71] = \ ; assign rxdata_out[70] = \ ; assign rxdata_out[69] = \ ; assign rxdata_out[68] = \ ; assign rxdata_out[67] = \ ; assign rxdata_out[66] = \ ; assign rxdata_out[65] = \ ; assign rxdata_out[64] = \ ; assign rxdata_out[63] = \ ; assign rxdata_out[62] = \ ; assign rxdata_out[61] = \ ; assign rxdata_out[60] = \ ; assign rxdata_out[59] = \ ; assign rxdata_out[58] = \ ; assign rxdata_out[57] = \ ; assign rxdata_out[56] = \ ; assign rxdata_out[55] = \ ; assign rxdata_out[54] = \ ; assign rxdata_out[53] = \ ; assign rxdata_out[52] = \ ; assign rxdata_out[51] = \ ; assign rxdata_out[50] = \ ; assign rxdata_out[49] = \ ; assign rxdata_out[48] = \ ; assign rxdata_out[47] = \ ; assign rxdata_out[46] = \ ; assign rxdata_out[45] = \ ; assign rxdata_out[44] = \ ; assign rxdata_out[43] = \ ; assign rxdata_out[42] = \ ; assign rxdata_out[41] = \ ; assign rxdata_out[40] = \ ; assign rxdata_out[39] = \ ; assign rxdata_out[38] = \ ; assign rxdata_out[37] = \ ; assign rxdata_out[36] = \ ; assign rxdata_out[35] = \ ; assign rxdata_out[34] = \ ; assign rxdata_out[33] = \ ; assign rxdata_out[32] = \ ; assign rxdata_out[31] = \ ; assign rxdata_out[30] = \ ; assign rxdata_out[29] = \ ; assign rxdata_out[28] = \ ; assign rxdata_out[27] = \ ; assign rxdata_out[26] = \ ; assign rxdata_out[25] = \ ; assign rxdata_out[24] = \ ; assign rxdata_out[23] = \ ; assign rxdata_out[22] = \ ; assign rxdata_out[21] = \ ; assign rxdata_out[20] = \ ; assign rxdata_out[19] = \ ; assign rxdata_out[18] = \ ; assign rxdata_out[17] = \ ; assign rxdata_out[16] = \ ; assign rxdata_out[15] = \ ; assign rxdata_out[14] = \ ; assign rxdata_out[13] = \ ; assign rxdata_out[12] = \ ; assign rxdata_out[11] = \ ; assign rxdata_out[10] = \ ; assign rxdata_out[9] = \ ; assign rxdata_out[8] = \ ; assign rxdata_out[7] = \ ; assign rxdata_out[6] = \ ; assign rxdata_out[5] = \ ; assign rxdata_out[4] = \ ; assign rxdata_out[3] = \ ; assign rxdata_out[2] = \ ; assign rxdata_out[1] = \ ; assign rxdata_out[0] = \ ; assign rxdataextendrsvd_out[7] = \ ; assign rxdataextendrsvd_out[6] = \ ; assign rxdataextendrsvd_out[5] = \ ; assign rxdataextendrsvd_out[4] = \ ; assign rxdataextendrsvd_out[3] = \ ; assign rxdataextendrsvd_out[2] = \ ; assign rxdataextendrsvd_out[1] = \ ; assign rxdataextendrsvd_out[0] = \ ; assign rxdatavalid_out[1] = \ ; assign rxdatavalid_out[0] = \ ; assign rxdlysresetdone_out[0] = \ ; assign rxelecidle_out[0] = \ ; assign rxheader_out[5] = \ ; assign rxheader_out[4] = \ ; assign rxheader_out[3] = \ ; assign rxheader_out[2] = \ ; assign rxheader_out[1] = \ ; assign rxheader_out[0] = \ ; assign rxheadervalid_out[1] = \ ; assign rxheadervalid_out[0] = \ ; assign rxlfpstresetdet_out[0] = \ ; assign rxlfpsu2lpexitdet_out[0] = \ ; assign rxlfpsu3wakedet_out[0] = \ ; assign rxmonitorout_out[6] = \ ; assign rxmonitorout_out[5] = \ ; assign rxmonitorout_out[4] = \ ; assign rxmonitorout_out[3] = \ ; assign rxmonitorout_out[2] = \ ; assign rxmonitorout_out[1] = \ ; assign rxmonitorout_out[0] = \ ; assign rxosintdone_out[0] = \ ; assign rxosintstarted_out[0] = \ ; assign rxosintstrobedone_out[0] = \ ; assign rxosintstrobestarted_out[0] = \ ; assign rxoutclkfabric_out[0] = \ ; assign rxoutclkpcs_out[0] = \ ; assign rxphaligndone_out[0] = \ ; assign rxphalignerr_out[0] = \ ; assign rxprbserr_out[0] = \ ; assign rxprbslocked_out[0] = \ ; assign rxprgdivresetdone_out[0] = \ ; assign rxqpisenn_out[0] = \ ; assign rxqpisenp_out[0] = \ ; assign rxratedone_out[0] = \ ; assign rxrecclk0_sel_out[1] = \ ; assign rxrecclk0_sel_out[0] = \ ; assign rxrecclk0sel_out[0] = \ ; assign rxrecclk1_sel_out[1] = \ ; assign rxrecclk1_sel_out[0] = \ ; assign rxrecclk1sel_out[0] = \ ; assign rxsliderdy_out[0] = \ ; assign rxslipdone_out[0] = \ ; assign rxslipoutclkrdy_out[0] = \ ; assign rxslippmardy_out[0] = \ ; assign rxstartofseq_out[1] = \ ; assign rxstartofseq_out[0] = \ ; assign rxstatus_out[2] = \ ; assign rxstatus_out[1] = \ ; assign rxstatus_out[0] = \ ; assign rxsyncdone_out[0] = \ ; assign rxsyncout_out[0] = \ ; assign rxvalid_out[0] = \ ; assign sdm0finalout_out[0] = \ ; assign sdm0testdata_out[0] = \ ; assign sdm1finalout_out[0] = \ ; assign sdm1testdata_out[0] = \ ; assign tcongpo_out[0] = \ ; assign tconrsvdout0_out[0] = \ ; assign txbufstatus_out[1] = \ ; assign txbufstatus_out[0] = \ ; assign txcomfinish_out[0] = \ ; assign txdccdone_out[0] = \ ; assign txdlysresetdone_out[0] = \ ; assign txoutclkfabric_out[0] = \ ; assign txoutclkpcs_out[0] = \ ; assign txphaligndone_out[0] = \ ; assign txphinitdone_out[0] = \ ; assign txprgdivresetdone_out[0] = \ ; assign txqpisenn_out[0] = \ ; assign txqpisenp_out[0] = \ ; assign txratedone_out[0] = \ ; assign txsyncdone_out[0] = \ ; assign txsyncout_out[0] = \ ; assign ubdaddr_out[0] = \ ; assign ubden_out[0] = \ ; assign ubdi_out[0] = \ ; assign ubdwe_out[0] = \ ; assign ubmdmtdo_out[0] = \ ; assign ubrsvdout_out[0] = \ ; assign ubtxuart_out[0] = \ ; GND GND (.G(\ )); ttc_mgt_ttc_mgt_gtwizard_gthe3 \gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst (.gthrxn_in(gthrxn_in), .gthrxp_in(gthrxp_in), .gthtxn_out(gthtxn_out), .gthtxp_out(gthtxp_out), .gtpowergood_out(gtpowergood_out), .gtrefclk00_in(gtrefclk00_in), .gtrefclk01_in(gtrefclk01_in), .gtrxreset_in(gtrxreset_in), .gtsouthrefclk00_in(gtsouthrefclk00_in), .gtsouthrefclk01_in(gtsouthrefclk01_in), .gtsouthrefclk10_in(gtsouthrefclk10_in), .gtsouthrefclk11_in(gtsouthrefclk11_in), .gttxreset_in(gttxreset_in), .gtwiz_buffbypass_rx_done_out(gtwiz_buffbypass_rx_done_out), .gtwiz_buffbypass_rx_error_out(gtwiz_buffbypass_rx_error_out), .gtwiz_buffbypass_rx_reset_in(gtwiz_buffbypass_rx_reset_in), .gtwiz_buffbypass_rx_start_user_in(gtwiz_buffbypass_rx_start_user_in), .gtwiz_reset_rx_done_in(gtwiz_reset_rx_done_in), .gtwiz_userdata_rx_out(gtwiz_userdata_rx_out), .gtwiz_userdata_tx_in(gtwiz_userdata_tx_in), .loopback_in(loopback_in), .qpll0lock_out(qpll0lock_out), .qpll0outclk_out(qpll0outclk_out), .qpll0outrefclk_out(qpll0outrefclk_out), .qpll0refclksel_in(qpll0refclksel_in), .qpll0reset_in(qpll0reset_in), .qpll1lock_out(qpll1lock_out), .qpll1outclk_out(qpll1outclk_out), .qpll1outrefclk_out(qpll1outrefclk_out), .qpll1refclksel_in(qpll1refclksel_in), .qpll1reset_in(qpll1reset_in), .rxcdrlock_out(rxcdrlock_out), .rxoutclk_out(rxoutclk_out), .rxpmaresetdone_out(rxpmaresetdone_out), .rxpolarity_in(rxpolarity_in), .rxprogdivreset_in(rxprogdivreset_in), .rxrecclkout_out(rxrecclkout_out), .rxresetdone_out(rxresetdone_out), .rxslide_in(rxslide_in), .rxuserrdy_in(rxuserrdy_in), .rxusrclk2_in(rxusrclk2_in), .rxusrclk_in(rxusrclk_in), .txoutclk_out(txoutclk_out), .txpmaresetdone_out(txpmaresetdone_out), .txpolarity_in(txpolarity_in), .txprogdivreset_in(txprogdivreset_in), .txresetdone_out(txresetdone_out), .txuserrdy_in(txuserrdy_in), .txusrclk2_in(txusrclk2_in), .txusrclk_in(txusrclk_in)); endmodule `ifndef GLBL `define GLBL `timescale 1 ps / 1 ps module glbl (); parameter ROC_WIDTH = 100000; parameter TOC_WIDTH = 0; parameter GRES_WIDTH = 10000; parameter GRES_START = 10000; //-------- STARTUP Globals -------------- wire GSR; wire GTS; wire GWE; wire PRLD; wire GRESTORE; tri1 p_up_tmp; tri (weak1, strong0) PLL_LOCKG = p_up_tmp; wire PROGB_GLBL; wire CCLKO_GLBL; wire FCSBO_GLBL; wire [3:0] DO_GLBL; wire [3:0] DI_GLBL; reg GSR_int; reg GTS_int; reg PRLD_int; reg GRESTORE_int; //-------- JTAG Globals -------------- wire JTAG_TDO_GLBL; wire JTAG_TCK_GLBL; wire JTAG_TDI_GLBL; wire JTAG_TMS_GLBL; wire JTAG_TRST_GLBL; reg JTAG_CAPTURE_GLBL; reg JTAG_RESET_GLBL; reg JTAG_SHIFT_GLBL; reg JTAG_UPDATE_GLBL; reg JTAG_RUNTEST_GLBL; reg JTAG_SEL1_GLBL = 0; reg JTAG_SEL2_GLBL = 0 ; reg JTAG_SEL3_GLBL = 0; reg JTAG_SEL4_GLBL = 0; reg JTAG_USER_TDO1_GLBL = 1'bz; reg JTAG_USER_TDO2_GLBL = 1'bz; reg JTAG_USER_TDO3_GLBL = 1'bz; reg JTAG_USER_TDO4_GLBL = 1'bz; assign (strong1, weak0) GSR = GSR_int; assign (strong1, weak0) GTS = GTS_int; assign (weak1, weak0) PRLD = PRLD_int; assign (strong1, weak0) GRESTORE = GRESTORE_int; initial begin GSR_int = 1'b1; PRLD_int = 1'b1; #(ROC_WIDTH) GSR_int = 1'b0; PRLD_int = 1'b0; end initial begin GTS_int = 1'b1; #(TOC_WIDTH) GTS_int = 1'b0; end initial begin GRESTORE_int = 1'b0; #(GRES_START); GRESTORE_int = 1'b1; #(GRES_WIDTH); GRESTORE_int = 1'b0; end endmodule `endif