{ Command: %s 53* vivadotcl2J 6synth_design -top ngFEC_top -part xcku115-flva2104-1-c2default:defaultZ4-113hpx : Starting synth_design 149* vivadotclZ4-321hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2 Synthesis2default:default2 xcku1152default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2 Synthesis2default:default2 xcku1152default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2021.012default:defaultZ17-1540hpx [ Loading part %s157*device2( xcku115-flva2104-1-c2default:defaultZ21-403hpx  HMultithreading enabled for synth_design using a maximum of %s processes.4828*oasys2 22default:defaultZ8-7079hpx a ?Launching helper process for spawning children vivado processes4827*oasysZ8-7078hpx ` #Helper process launched with PID %s4824*oasys2 140122default:defaultZ8-7075hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2! CrossClock_RX2default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 422default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N15K132default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 382default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N15K132default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 392default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N15K132default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 402default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N15K132default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 412default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N15K132default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 422default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 392default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 402default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 412default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 422default:default8@Z8-2507hpx  Pparameter declaration becomes local in %s with formal parameter declaration list2507*oasys2% rs_encoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 432default:default8@Z8-2507hpx  .identifier '%s' is used before its declaration4750*oasys2 sm_init2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 1652default:default8@Z8-6901hpx  .identifier '%s' is used before its declaration4750*oasys2 ST_TX_WAIT2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 1652default:default8@Z8-6901hpx  .identifier '%s' is used before its declaration4750*oasys2 sm_init2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 1682default:default8@Z8-6901hpx  .identifier '%s' is used before its declaration4750*oasys2 ST_RX_WAIT2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 1682default:default8@Z8-6901hpx  %s *synth2 yStarting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1464.160 ; gain = 255.695 2default:defaulthp x   Sactual for formal port %s is neither a static name nor a globally static expression1565*oasys2 reset2default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/tri_mode_eth_mac_v5_5.vhd2default:default2 732default:default8@Z8-1565hpx  synthesizing module '%s'638*oasys2 ngFEC_top2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 792default:default8@Z8-638hpx i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2& i_GBT_refclk0_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4712default:default8@Z8-113hpx Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2& i_GBT_refclk1_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4722default:default8@Z8-113hpx Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2& i_GBT_refclk2_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4732default:default8@Z8-113hpx Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2& i_GBT_refclk3_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4742default:default8@Z8-113hpx Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2$ i_refclk125_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4752default:default8@Z8-113hpx Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_HROW_CK_SEL bound to: 2'b00 2default:defaulthp x  X %s *synth2@ , Parameter REFCLK_ICNTL_RX bound to: 2'b00 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2( i_TTC_rx_refclk_ibuf2default:default2 IBUFDS_GTE32default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4762default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b1111111111111111 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_pwrup_rst2default:default2 SRL16E2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4772default:default8@Z8-113hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  j %s *synth2R > Parameter CLKFBOUT_MULT_F bound to: 8.000000 - type: double 2default:defaulthp x  i %s *synth2Q = Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN1_PERIOD bound to: 8.000000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKOUT0_DIVIDE_F bound to: 20.000000 - type: double 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT1_DIVIDE bound to: 16 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter CLKOUT2_DIVIDE bound to: 32 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 4 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  g %s *synth2O ; Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT6_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter IS_CLKFBIN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKIN1_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_PWRDWN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.010000 - type: double 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2! i_clk125_MMCM2default:default2 MMCME3_BASE2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 4902default:default8@Z8-113hpx g %s *synth2O ; Parameter SIM_DEVICE bound to: ULTRASCALE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_SYNC bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2$ i_refclk125_bufg2default:default2 BUFG_GT2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5122default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_clk125_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5132default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2" i_clk62_5_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5142default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_clk250_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5152default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! i_DRPclk_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5162default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2" i_ipb_clk_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5172default:default8@Z8-113hpx  synthesizing module '%s'638*oasys2$ eth_7s_1000basex2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/eth_7s_1000basex.vhd2default:default2 442default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2) tri_mode_eth_mac_v5_52default:default2x dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/tri_mode_eth_mac_v5_5.vhd2default:default2 32default:default2 mac2default:default2) tri_mode_eth_mac_v5_52default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/eth_7s_1000basex.vhd2default:default2 1382default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2) tri_mode_eth_mac_v5_52default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/tri_mode_eth_mac_v5_5.vhd2default:default2 402default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 soft_emac2default:default2q ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 402default:default2 i_mac2default:default2 soft_emac2default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/tri_mode_eth_mac_v5_5.vhd2default:default2 722default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 soft_emac2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 622default:default8@Z8-638hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 EthernetCRC2default:default2n ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/EthernetCRC.vhd2default:default2 552default:default2 i_tx_CRC32D82default:default2 EthernetCRC2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2082default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 EthernetCRC2default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/EthernetCRC.vhd2default:default2 652default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 EthernetCRC2default:default2 12default:default2 12default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/EthernetCRC.vhd2default:default2 652default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 rx_crc_d2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2172default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 phyemacrxd2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2172default:default8@Z8-614hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 EthernetCRC2default:default2n ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/EthernetCRC.vhd2default:default2 552default:default2 i_rx_CRC32D82default:default2 EthernetCRC2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2672default:default8@Z8-3491hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 SRL16E_inst2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2772default:default8@Z8-113hpx \ %s *synth2D 0 Parameter INIT bound to: 16'b0000000000000000 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_ce_rx_crc_dl2default:default2 SRL16E2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 2892default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 soft_emac2default:default2 22default:default2 12default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/soft_emac_AXI4.vhd2default:default2 622default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2) tri_mode_eth_mac_v5_52default:default2 32default:default2 12default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/tri_mode_eth_mac_v5_5.vhd2default:default2 402default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2* gig_ethernet_pcs_pma_02default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/synth_1/.Xil/Vivado-15164-baby/realtime/gig_ethernet_pcs_pma_0_stub.vhdl2default:default2 52default:default2 phy2default:default2* gig_ethernet_pcs_pma_02default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/eth_7s_1000basex.vhd2default:default2 1832default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2* gig_ethernet_pcs_pma_02default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/synth_1/.Xil/Vivado-15164-baby/realtime/gig_ethernet_pcs_pma_0_stub.vhdl2default:default2 402default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ eth_7s_1000basex2default:default2 42default:default2 12default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/Ethernet/eth_7s_1000basex.vhd2default:default2 442default:default8@Z8-256hpx O %s *synth27 # Parameter MAC_CFG bound to: 1'b0 2default:defaulthp x  N %s *synth26 " Parameter IP_CFG bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter N_OOB bound to: 0 - type: integer 2default:defaulthp x   null port '%s' ignored506*oasys2 oob_in2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 2572default:default8@Z8-506hpx  null port '%s' ignored506*oasys2 oob_out2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 2582default:default8@Z8-506hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 ipbus_ctrl2default:default2| hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/ipbus_ctrl.vhd2default:default2 412default:default2 ipb2default:default2 ipbus_ctrl2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 5862default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 ipbus_ctrl2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/ipbus_ctrl.vhd2default:default2 902default:default8@Z8-638hpx O %s *synth27 # Parameter MAC_CFG bound to: 1'b0 2default:defaulthp x  N %s *synth26 " Parameter IP_CFG bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter N_OOB bound to: 0 - type: integer 2default:defaulthp x   null port '%s' ignored506*oasys2 oob_in2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/ipbus_ctrl.vhd2default:default2 842default:default8@Z8-506hpx  null port '%s' ignored506*oasys2 oob_out2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/ipbus_ctrl.vhd2default:default2 852default:default8@Z8-506hpx  synthesizing module '%s'638*oasys2 UDP_if2default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_if_flat.vhd2default:default2 902default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter INTERNALWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2$ udp_ipaddr_block2default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_ipaddr_block.vhd2default:default2 542default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_ipaddr_block2default:default2 52default:default2 12default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_ipaddr_block.vhd2default:default2 542default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_rarp_block2default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rarp_block.vhd2default:default2 502default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" udp_rarp_block2default:default2 62default:default2 12default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rarp_block.vhd2default:default2 502default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! udp_build_arp2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_arp.vhd2default:default2 542default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! udp_build_arp2default:default2 72default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_arp.vhd2default:default2 542default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_build_payload2default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_payload.vhd2default:default2 592default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2% udp_build_payload2default:default2 82default:default2 12default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_payload.vhd2default:default2 592default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_build_ping2default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_ping.vhd2default:default2 572default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" udp_build_ping2default:default2 92default:default2 12default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_ping.vhd2default:default2 572default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2$ udp_build_resend2default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_resend.vhd2default:default2 492default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_build_resend2default:default2 102default:default2 12default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_resend.vhd2default:default2 492default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2$ udp_build_status2default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_status.vhd2default:default2 542default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ udp_build_status2default:default2 112default:default2 12default:default2 nD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_build_status.vhd2default:default2 542default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_status_buffer2default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_status_buffer.vhd2default:default2 752default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% udp_status_buffer2default:default2 122default:default2 12default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_status_buffer.vhd2default:default2 752default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 udp_byte_sum2default:default2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_byte_sum.vhd2default:default2 512default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 udp_byte_sum2default:default2 132default:default2 12default:default2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_byte_sum.vhd2default:default2 512default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# udp_do_rx_reset2default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_do_rx_reset.vhd2default:default2 452default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# udp_do_rx_reset2default:default2 142default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_do_rx_reset.vhd2default:default2 452default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2% udp_packet_parser2default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_packet_parser.vhd2default:default2 612default:default8@Z8-638hpx a %s *synth2I 5 Parameter IPBUSPORT bound to: 16'b1100001101010001 2default:defaulthp x  U %s *synth2= ) Parameter SECONDARYPORT bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% udp_packet_parser2default:default2 152default:default2 12default:default2 oD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_packet_parser.vhd2default:default2 612default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! udp_rxram_mux2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxram_mux.vhd2default:default2 802default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! udp_rxram_mux2default:default2 162default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxram_mux.vhd2default:default2 802default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# udp_DualPortRAM2default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram.vhd2default:default2 482default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2# udp_DualPortRAM2default:default2 172default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram.vhd2default:default2 482default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_buffer_selector2default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_buffer_selector.vhd2default:default2 582default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' udp_buffer_selector2default:default2 182default:default2 12default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_buffer_selector.vhd2default:default2 582default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" udp_rxram_shim2default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxram_shim.vhd2default:default2 562default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" udp_rxram_shim2default:default2 192default:default2 12default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxram_shim.vhd2default:default2 562default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& udp_DualPortRAM_rx2default:default2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_rx.vhd2default:default2 482default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   default block is never used226*oasys2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_rx.vhd2default:default2 622default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& udp_DualPortRAM_rx2default:default2 202default:default2 12default:default2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_rx.vhd2default:default2 482default:default8@Z8-256hpx  synthesizing module '%s'638*oasys27 #udp_buffer_selector__parameterized02default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_buffer_selector.vhd2default:default2 582default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys27 #udp_buffer_selector__parameterized02default:default2 202default:default2 12default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_buffer_selector.vhd2default:default2 582default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& udp_DualPortRAM_tx2default:default2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_tx.vhd2default:default2 482default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ADDRWIDTH bound to: 11 - type: integer 2default:defaulthp x   default block is never used226*oasys2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_tx.vhd2default:default2 832default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& udp_DualPortRAM_tx2default:default2 212default:default2 12default:default2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_dualportram_tx.vhd2default:default2 482default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_rxtransactor_if2default:default2 xD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxtransactor_if_simple.vhd2default:default2 492default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2' udp_rxtransactor_if2default:default2 222default:default2 12default:default2 xD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_rxtransactor_if_simple.vhd2default:default2 492default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 udp_tx_mux2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_tx_mux.vhd2default:default2 712default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 udp_tx_mux2default:default2 232default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_tx_mux.vhd2default:default2 712default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' udp_txtransactor_if2default:default2 xD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_txtransactor_if_simple.vhd2default:default2 612default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' udp_txtransactor_if2default:default2 242default:default2 12default:default2 xD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_txtransactor_if_simple.vhd2default:default2 612default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2) udp_clock_crossing_if2default:default2 sD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_clock_crossing_if.vhd2default:default2 692default:default8@Z8-638hpx ] %s *synth2E 1 Parameter BUFWIDTH bound to: 4 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2) udp_clock_crossing_if2default:default2 252default:default2 12default:default2 sD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_clock_crossing_if.vhd2default:default2 692default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 UDP_if2default:default2 262default:default2 12default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/udp_if_flat.vhd2default:default2 902default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 transactor2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor.vhd2default:default2 602default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2! transactor_if2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_if.vhd2default:default2 572default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! transactor_if2default:default2 272default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_if.vhd2default:default2 572default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2! transactor_sm2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_sm.vhd2default:default2 652default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! transactor_sm2default:default2 282default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_sm.vhd2default:default2 652default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" transactor_cfg2default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_cfg.vhd2default:default2 532default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" transactor_cfg2default:default2 292default:default2 12default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor_cfg.vhd2default:default2 532default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 transactor2default:default2 302default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/transactor.vhd2default:default2 602default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ipbus_ctrl2default:default2 312default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_core/firmware/hdl/ipbus_ctrl.vhd2default:default2 902default:default8@Z8-256hpx  5Component port with null array found, Will be ignored4625*oasys2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 6132default:default8@Z8-6778hpx  5Component port with null array found, Will be ignored4625*oasys2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 6142default:default8@Z8-6778hpx  synthesizing module '%s'638*oasys2 ipbus_fabric2default:default2h RD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_fabric.vhd2default:default2 222default:default8@Z8-638hpx _ %s *synth2G 3 Parameter n_usr_slv bound to: 51 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 ipbus_fabric2default:default2 322default:default2 12default:default2h RD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipbus_fabric.vhd2default:default2 222default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2( ipb_user_status_regs2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 522default:default8@Z8-638hpx U %s *synth2= ) Parameter sim bound to: 0 - type: bool 2default:defaulthp x   Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 ipb_addr9_r2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2242default:default8@Z8-614hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2! cntr_rst_ctrl2default:default2g SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 362default:default2# i_cntr_rst_ctrl2default:default2! cntr_rst_ctrl2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2732default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2! cntr_rst_ctrl2default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 462default:default8@Z8-638hpx l %s *synth2T @ Parameter INIT bound to: 32'b10010010010010010010010010010010 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b10010010010010010010010010010010 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00100100100100100100100100100100 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00100100100100100100100100100100 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00111000111000111000111000111000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00111000111000111000111000111000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b11000000111111000000111111000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b11000000111111000000111111000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000111111111111000000000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b00000000111111111111000000000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b11111111000000000000000000000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1482default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b11111111000000000000000000000000 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2" i_reset_addr_p2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1602default:default8@Z8-113hpx l %s *synth2T @ Parameter INIT bound to: 32'b10100100100100100100100100100100 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_wraparound2default:default2 LUT52default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 1752default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_MULT bound to: MULTIPLY - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 2472default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2! cntr_rst_ctrl2default:default2 332default:default2 12default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cntr_rst_ctrl.vhd2default:default2 462default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 DSP_MUX_b2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 422default:default8@Z8-638hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 472default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 DSP_MUX_b2default:default2 342default:default2 12default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 422default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  synthesizing module '%s'638*oasys2 DSP_MUX2default:default2c MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 432default:default8@Z8-638hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2c MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 492default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 DSP_MUX2default:default2 352default:default2 12default:default2c MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 432default:default8@Z8-256hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX.vhd2default:default2 342default:default2 i_DSP_MUX2default:default2 DSP_MUX2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2972default:default8@Z8-3491hpx  Hmodule '%s' declared at '%s:%s' bound to instance '%s' of component '%s'3392*oasys2 DSP_MUX_b2default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_b.vhd2default:default2 342default:default2 i_DSP_MUX_b2default:default2 DSP_MUX_b2default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 2892default:default8@Z8-3491hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-34912default:default2 1002default:defaultZ17-14hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x   synthesizing module '%s'638*oasys2" DSP_counterX4b2default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4b.vhd2default:default2 412default:default8@Z8-638hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_SIMD bound to: FOUR12 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4b.vhd2default:default2 682default:default8@Z8-113hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_SIMD bound to: FOUR12 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2! DSP48E2_inst22default:default2 DSP48E22default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4b.vhd2default:default2 1302default:default8@Z8-113hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2" xpm_cdc_single2default:default2 2default:default2P :D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv2default:default2 1532default:default8@Z8-6157hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter VERSION bound to: 0 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2" xpm_cdc_single2default:default2 2default:default2 362default:default2 12default:default2P :D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv2default:default2 1532default:default8@Z8-6155hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" DSP_counterX4b2default:default2 372default:default2 12default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4b.vhd2default:default2 412default:default8@Z8-256hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x   synthesizing module '%s'638*oasys2 DSP_MUX_C_b2default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C_b.vhd2default:default2 442default:default8@Z8-638hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C_b.vhd2default:default2 492default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 DSP_MUX_C_b2default:default2 382default:default2 12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C_b.vhd2default:default2 442default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 DSP_MUX_C2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C.vhd2default:default2 452default:default8@Z8-638hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C.vhd2default:default2 512default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 DSP_MUX_C2default:default2 392default:default2 12default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_MUX_C.vhd2default:default2 452default:default8@Z8-256hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  q %s *synth2Y E Parameter CLOCKING_MODE bound to: independent_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter READ_RESET_VALUE_A bound to: 00000000 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_A bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2% xpm_memory_tdpram2default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 88712default:default8@Z8-6157hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  q %s *synth2Y E Parameter CLOCKING_MODE bound to: independent_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter READ_RESET_VALUE_A bound to: 00000000 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_A bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  g %s *synth2O ; Parameter P_MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter P_CLOCKING_MODE bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter P_ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter P_WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_WRITE_MODE_A bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2# xpm_memory_base2default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter MEMORY_TYPE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLOCKING_MODE bound to: 1 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter VERSION bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter READ_RESET_VALUE_A bound to: 00000000 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_A bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_B bound to: 32 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_MIN_WIDTH_DATA bound to: 32 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MIN_WIDTH_DATA_ECC bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_MAX_DEPTH_DATA bound to: 512 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter P_MEMORY_OPT bound to: yes - type: string 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_A bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_A bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_B bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_WRITE_A bound to: 9 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_WRITE_B bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_WIDTH_ADDR_READ_A bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_WIDTH_ADDR_READ_B bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_A bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_SDP_WRITE_MODE bound to: yes - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter rsta_loop_iter bound to: 32 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter rstb_loop_iter bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter NUM_CHAR_LOC bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter MAX_NUM_CHAR bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter P_MIN_WIDTH_DATA_SHFT bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_MIN_WIDTH_DATA_LDW bound to: 4 - type: integer 2default:defaulthp x   Synth Info: %s 4384*oasys2 [XPM_MEMORY 20-2] MEMORY_INIT_FILE (none), MEMORY_INIT_PARAM together specify no memory initialization. Initial memory contents will be all 0's. 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 4902default:default8@Z8-6059hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2# xpm_memory_base2default:default2 2default:default2 402default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2% xpm_memory_tdpram2default:default2 2default:default2 412default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 88712default:default8@Z8-6155hpx ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_DSP_cntr2default:default2 DSP48E22default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 5412default:default8@Z8-113hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x   synthesizing module '%s'638*oasys2! DSP_counterX42default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4.vhd2default:default2 412default:default8@Z8-638hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_SIMD bound to: FOUR12 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4.vhd2default:default2 502default:default8@Z8-113hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2! DSP_counterX42default:default2 422default:default2 12default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_counterX4.vhd2default:default2 412default:default8@Z8-256hpx S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  S %s *synth2; ' Parameter use_sync bound to: 4'b1111 2default:defaulthp x  d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  q %s *synth2Y E Parameter CLOCKING_MODE bound to: independent_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter READ_RESET_VALUE_A bound to: 00000000 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_A bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 0 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 0 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 0 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_DSP_rate2default:default2 DSP48E22default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 7922default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2( ipb_user_status_regs2default:default2 432default:default2 12default:default2s ]D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_status_regsNew.vhd2default:default2 522default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2) ipb_user_control_regs2default:default2q [D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_control_regs.vhd2default:default2 212default:default8@Z8-638hpx _ %s *synth2G 3 Parameter addr_width bound to: 7 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2) ipb_user_control_regs2default:default2 442default:default2 12default:default2q [D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ipb_user_control_regs.vhd2default:default2 212default:default8@Z8-256hpx e %s *synth2M 9 Parameter BANDWIDTH bound to: OPTIMIZED - type: string 2default:defaulthp x  k %s *synth2S ? Parameter CLKFBOUT_MULT_F bound to: 18.000000 - type: double 2default:defaulthp x  j %s *synth2R > Parameter CLKFBOUT_PHASE bound to: 90.000000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  i %s *synth2Q = Parameter CLKIN1_PERIOD bound to: 24.950000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKIN2_PERIOD bound to: 0.000000 - type: double 2default:defaulthp x  l %s *synth2T @ Parameter CLKOUT0_DIVIDE_F bound to: 18.000000 - type: double 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT1_DIVIDE bound to: 6 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  g %s *synth2O ; Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: double 2default:defaulthp x  h %s *synth2P < Parameter CLKOUT6_PHASE bound to: 0.000000 - type: double 2default:defaulthp x  k %s *synth2S ? Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter COMPENSATION bound to: AUTO - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter IS_CLKFBIN_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKIN1_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_CLKIN2_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_PSEN_INVERTED bound to: 1'b0 2default:defaulthp x  \ %s *synth2D 0 Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 2default:defaulthp x  Z %s *synth2B . Parameter IS_PWRDWN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_RST_INVERTED bound to: 1'b0 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER1 bound to: 0.010000 - type: double 2default:defaulthp x  f %s *synth2N : Parameter REF_JITTER2 bound to: 0.010000 - type: double 2default:defaulthp x  ] %s *synth2E 1 Parameter SS_EN bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SS_MODE bound to: CENTER_HIGH - type: string 2default:defaulthp x  f %s *synth2N : Parameter SS_MOD_PERIOD bound to: 10000 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_WAIT bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2# fabric_clk_MMCM2default:default2 MMCME3_ADV2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 6592default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2! CLKFBOUT_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 7022default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2# fabric_clk_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 7032default:default8@Z8-113hpx  ,binding component instance '%s' to cell '%s'113*oasys2# tx_wordclk_bufg2default:default2 BUFG2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 7042default:default8@Z8-113hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2! DSP_dividerX32default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX3.vhd2default:default2 472default:default8@Z8-638hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter AUTORESET_PATDET bound to: RESET_MATCH - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b111111111111111111111111111111111000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter USE_PATTERN_DETECT bound to: PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX3.vhd2default:default2 762default:default8@Z8-113hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2! DSP_dividerX32default:default2 452default:default2 12default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX3.vhd2default:default2 472default:default8@Z8-256hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x   synthesizing module '%s'638*oasys2& DSP_rate_counterX32default:default2n XD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_rate_counterX3.vhd2default:default2 422default:default8@Z8-638hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  n %s *synth2V B Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2n XD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_rate_counterX3.vhd2default:default2 652default:default8@Z8-113hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2& DSP_rate_counterX32default:default2 462default:default2 12default:default2n XD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_rate_counterX3.vhd2default:default2 422default:default8@Z8-256hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x   synthesizing module '%s'638*oasys2! DSP_dividerX22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 482default:default8@Z8-638hpx Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  ] %s *synth2E 1 Parameter ACASCREG bound to: 1 - type: integer 2default:defaulthp x  Z %s *synth2B . Parameter ADREG bound to: 1 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter ALUMODEREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter AMULTSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter AREG bound to: 1 - type: integer 2default:defaulthp x  n %s *synth2V B Parameter AUTORESET_PATDET bound to: RESET_MATCH - type: string 2default:defaulthp x  j %s *synth2R > Parameter AUTORESET_PRIORITY bound to: RESET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter A_INPUT bound to: DIRECT - type: string 2default:defaulthp x  ] %s *synth2E 1 Parameter BCASCREG bound to: 1 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter BMULTSEL bound to: B - type: string 2default:defaulthp x  Y %s *synth2A - Parameter BREG bound to: 1 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter B_INPUT bound to: DIRECT - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter CARRYINREG bound to: 1 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CARRYINSELREG bound to: 1 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter CREG bound to: 0 - type: integer 2default:defaulthp x  Y %s *synth2A - Parameter DREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter INMODEREG bound to: 1 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_ALUMODE_INVERTED bound to: 4'b0000 2default:defaulthp x  [ %s *synth2C / Parameter IS_CARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLK_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_INMODE_INVERTED bound to: 5'b00000 2default:defaulthp x  b %s *synth2J 6 Parameter IS_OPMODE_INVERTED bound to: 9'b000000000 2default:defaulthp x  a %s *synth2I 5 Parameter IS_RSTALLCARRYIN_INVERTED bound to: 1'b0 2default:defaulthp x  ^ %s *synth2F 2 Parameter IS_RSTALUMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTA_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTB_INVERTED bound to: 1'b0 2default:defaulthp x  [ %s *synth2C / Parameter IS_RSTCTRL_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTC_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTD_INVERTED bound to: 1'b0 2default:defaulthp x  ] %s *synth2E 1 Parameter IS_RSTINMODE_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTM_INVERTED bound to: 1'b0 2default:defaulthp x  X %s *synth2@ , Parameter IS_RSTP_INVERTED bound to: 1'b0 2default:defaulthp x  | %s *synth2d P Parameter MASK bound to: 48'b111111111111111111111111111111111000000000000000 2default:defaulthp x  Y %s *synth2A - Parameter MREG bound to: 0 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter OPMODEREG bound to: 1 - type: integer 2default:defaulthp x   %s *synth2g S Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter PREADDINSEL bound to: A - type: string 2default:defaulthp x  Y %s *synth2A - Parameter PREG bound to: 1 - type: integer 2default:defaulthp x  { %s *synth2c O Parameter RND bound to: 48'b000000000000000000000000000000000000000000000000 2default:defaulthp x  _ %s *synth2G 3 Parameter SEL_MASK bound to: MASK - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter SEL_PATTERN bound to: PATTERN - type: string 2default:defaulthp x  _ %s *synth2G 3 Parameter USE_MULT bound to: NONE - type: string 2default:defaulthp x  k %s *synth2S ? Parameter USE_PATTERN_DETECT bound to: PATDET - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter USE_SIMD bound to: ONE48 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter USE_WIDEXOR bound to: FALSE - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter XORSIMD bound to: XOR24_48_96 - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 DSP48E2_inst2default:default2 DSP48E22default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 762default:default8@Z8-113hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 1 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2! DSP_dividerX22default:default2 472default:default2 12default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 482default:default8@Z8-256hpx R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  _ %s *synth2G 3 Parameter pattern bound to: 16'b0000000000000000 2default:defaulthp x  \ %s *synth2D 0 Parameter mask bound to: 16'b1000000000000000 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  R %s *synth2: & Parameter use_sync bound to: 3'b111 2default:defaulthp x  Q %s *synth29 % Parameter use_sync bound to: 2'b11 2default:defaulthp x  g %s *synth2O ; Parameter pattern bound to: 24'b000000000000000000000000 2default:defaulthp x  d %s *synth2L 8 Parameter mask bound to: 24'b111111111000000000000000 2default:defaulthp x  U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2 TCDS2_if2default:default2k UD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/TCDS2_if.vhd2default:default2 712default:default8@Z8-638hpx U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x  U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'638*oasys2# ttc_mgt_wrapper2default:default2r \D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_wrapper.vhd2default:default2 642default:default8@Z8-638hpx U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x  Y %s *synth2A - Parameter REFCLK_EN_TX_PATH bound to: 1'b1 2default:defaulthp x  [ %s *synth2C / Parameter REFCLK_ICNTL_TX bound to: 5'b00111 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i_rxrecclk2default:default2 OBUFDS_GTE32default:default2r \D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_wrapper.vhd2default:default2 2242default:default8@Z8-113hpx  synthesizing module '%s'%s4497*oasys24 ttc_mgt_example_gtwiz_userclk_tx2default:default2 2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_userclk_tx.v2default:default2 602default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter P_CONTENTS bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter P_FREQ_RATIO_SOURCE_TO_USRCLK bound to: 1 - type: integer 2default:defaulthp x  s %s *synth2[ G Parameter P_FREQ_RATIO_USRCLK_TO_USRCLK2 bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter P_USRCLK_INT_DIV bound to: 0 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter P_USRCLK_DIV bound to: 3'b000 2default:defaulthp x  f %s *synth2N : Parameter P_USRCLK2_INT_DIV bound to: 0 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter P_USRCLK2_DIV bound to: 3'b000 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 BUFG_GT2default:default2 2default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 12592default:default8@Z8-6157hpx g %s *synth2O ; Parameter SIM_DEVICE bound to: ULTRASCALE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_SYNC bound to: FALSE - type: string 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2 BUFG_GT2default:default2 2default:default2 482default:default2 12default:default2K 5D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v2default:default2 12592default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys24 ttc_mgt_example_gtwiz_userclk_tx2default:default2 2default:default2 492default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_userclk_tx.v2default:default2 602default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys24 ttc_mgt_example_gtwiz_userclk_rx2default:default2 2default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_userclk_rx.v2default:default2 602default:default8@Z8-6157hpx _ %s *synth2G 3 Parameter P_CONTENTS bound to: 0 - type: integer 2default:defaulthp x  r %s *synth2Z F Parameter P_FREQ_RATIO_SOURCE_TO_USRCLK bound to: 1 - type: integer 2default:defaulthp x  s %s *synth2[ G Parameter P_FREQ_RATIO_USRCLK_TO_USRCLK2 bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter P_USRCLK_INT_DIV bound to: 0 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter P_USRCLK_DIV bound to: 3'b000 2default:defaulthp x  f %s *synth2N : Parameter P_USRCLK2_INT_DIV bound to: 0 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter P_USRCLK2_DIV bound to: 3'b000 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys24 ttc_mgt_example_gtwiz_userclk_rx2default:default2 2default:default2 502default:default2 12default:default2 kD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_userclk_rx.v2default:default2 602default:default8@Z8-6155hpx U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2( ttc_mgt_example_init2default:default2 2default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 592default:default8@Z8-6157hpx p %s *synth2X D Parameter P_FREERUN_FREQUENCY bound to: 125.000000 - type: double 2default:defaulthp x  U %s *synth2= ) Parameter SIM bound to: 0 - type: bool 2default:defaulthp x  u %s *synth2] I Parameter P_TX_TIMER_DURATION_US bound to: 30000.000000 - type: double 2default:defaulthp x  v %s *synth2^ J Parameter P_RX_TIMER_DURATION_US bound to: 130000.000000 - type: double 2default:defaulthp x  v %s *synth2^ J Parameter P_TX_TIMER_DURATION_US_SIM bound to: 10.000000 - type: double 2default:defaulthp x  v %s *synth2^ J Parameter P_RX_TIMER_DURATION_US_SIM bound to: 50.000000 - type: double 2default:defaulthp x  Q %s *synth29 % Parameter ST_START bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter ST_TX_WAIT bound to: 2'b01 2default:defaulthp x  S %s *synth2; ' Parameter ST_RX_WAIT bound to: 2'b10 2default:defaulthp x  S %s *synth2; ' Parameter ST_MONITOR bound to: 2'b11 2default:defaulthp x   synthesizing module '%s'%s4497*oasys26 "ttc_mgt_example_reset_synchronizer2default:default2 2default:default2{ eD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_reset_sync.v2default:default2 582default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter FREQUENCY bound to: 512 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys26 "ttc_mgt_example_reset_synchronizer2default:default2 2default:default2 512default:default2 12default:default2{ eD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_reset_sync.v2default:default2 582default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys24 ttc_mgt_example_bit_synchronizer2default:default2 2default:default2y cD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_bit_sync.v2default:default2 582default:default8@Z8-6157hpx V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x  ` %s *synth2H 4 Parameter FREQUENCY bound to: 512 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys24 ttc_mgt_example_bit_synchronizer2default:default2 2default:default2 522default:default2 12default:default2y cD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_bit_sync.v2default:default2 582default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2( ttc_mgt_example_init2default:default2 2default:default2 532default:default2 12default:default2u _D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_init.v2default:default2 592default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2/ ttc_mgt_example_gtwiz_reset2default:default2 2default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_reset.v2default:default2 602default:default8@Z8-6157hpx p %s *synth2X D Parameter P_FREERUN_FREQUENCY bound to: 125.000000 - type: double 2default:defaulthp x  c %s *synth2K 7 Parameter P_USE_CPLL_CAL bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter P_TX_PLL_TYPE bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter P_RX_PLL_TYPE bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_RX_LINE_RATE bound to: 10.260224 - type: double 2default:defaulthp x  { %s *synth2c O Parameter P_CDR_TIMEOUT_FREERUN_CYC bound to: 26'b00000001101110000011010010 2default:defaulthp x  [ %s *synth2C / Parameter ST_RESET_ALL_INIT bound to: 3'b000 2default:defaulthp x  ] %s *synth2E 1 Parameter ST_RESET_ALL_BRANCH bound to: 3'b001 2default:defaulthp x  ] %s *synth2E 1 Parameter ST_RESET_ALL_TX_PLL bound to: 3'b010 2default:defaulthp x  b %s *synth2J 6 Parameter ST_RESET_ALL_TX_PLL_WAIT bound to: 3'b011 2default:defaulthp x  \ %s *synth2D 0 Parameter ST_RESET_ALL_RX_DP bound to: 3'b100 2default:defaulthp x  ] %s *synth2E 1 Parameter ST_RESET_ALL_RX_PLL bound to: 3'b101 2default:defaulthp x  ^ %s *synth2F 2 Parameter ST_RESET_ALL_RX_WAIT bound to: 3'b110 2default:defaulthp x  [ %s *synth2C / Parameter ST_RESET_ALL_DONE bound to: 3'b111 2default:defaulthp x  l %s *synth2T @ Parameter P_TX_PLL_RESET_FREERUN_CYC bound to: 10'b0000000111 2default:defaulthp x  \ %s *synth2D 0 Parameter ST_RESET_TX_BRANCH bound to: 3'b000 2default:defaulthp x  Y %s *synth2A - Parameter ST_RESET_TX_PLL bound to: 3'b001 2default:defaulthp x  ^ %s *synth2F 2 Parameter ST_RESET_TX_DATAPATH bound to: 3'b010 2default:defaulthp x  _ %s *synth2G 3 Parameter ST_RESET_TX_WAIT_LOCK bound to: 3'b011 2default:defaulthp x  b %s *synth2J 6 Parameter ST_RESET_TX_WAIT_USERRDY bound to: 3'b100 2default:defaulthp x  d %s *synth2L 8 Parameter ST_RESET_TX_WAIT_RESETDONE bound to: 3'b101 2default:defaulthp x  Z %s *synth2B . Parameter ST_RESET_TX_IDLE bound to: 3'b110 2default:defaulthp x  l %s *synth2T @ Parameter P_RX_PLL_RESET_FREERUN_CYC bound to: 10'b0011111100 2default:defaulthp x  \ %s *synth2D 0 Parameter ST_RESET_RX_BRANCH bound to: 3'b000 2default:defaulthp x  Y %s *synth2A - Parameter ST_RESET_RX_PLL bound to: 3'b001 2default:defaulthp x  ^ %s *synth2F 2 Parameter ST_RESET_RX_DATAPATH bound to: 3'b010 2default:defaulthp x  _ %s *synth2G 3 Parameter ST_RESET_RX_WAIT_LOCK bound to: 3'b011 2default:defaulthp x  ^ %s *synth2F 2 Parameter ST_RESET_RX_WAIT_CDR bound to: 3'b100 2default:defaulthp x  b %s *synth2J 6 Parameter ST_RESET_RX_WAIT_USERRDY bound to: 3'b101 2default:defaulthp x  d %s *synth2L 8 Parameter ST_RESET_RX_WAIT_RESETDONE bound to: 3'b110 2default:defaulthp x  Z %s *synth2B . Parameter ST_RESET_RX_IDLE bound to: 3'b111 2default:defaulthp x   -case statement is not full and has no default155*oasys2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_reset.v2default:default2 1712default:default8@Z8-155hpx  synthesizing module '%s'%s4497*oasys2: &ttc_mgt_example_reset_inv_synchronizer2default:default2 2default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_reset_inv_sync.v2default:default2 582default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter FREQUENCY bound to: 512 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2: &ttc_mgt_example_reset_inv_synchronizer2default:default2 2default:default2 542default:default2 12default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_reset_inv_sync.v2default:default2 582default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2/ ttc_mgt_example_gtwiz_reset2default:default2 2default:default2 552default:default2 12default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_example_gtwiz_reset.v2default:default2 602default:default8@Z8-6155hpx  synthesizing module '%s'638*oasys2 ttc_mgt2default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/synth_1/.Xil/Vivado-15164-baby/realtime/ttc_mgt_stub.vhdl2default:default2 642default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# ttc_mgt_wrapper2default:default2 562default:default2 12default:default2r \D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/ttc_mgt_wrapper.vhd2default:default2 642default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 prbs_gen2default:default2 |D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/hprbs_framing/prbs_gen.vhd2default:default2 902default:default8@Z8-638hpx e %s *synth2M 9 Parameter g_PARAL_FACTOR bound to: 234 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter g_PRBS_POLYNOMIAL bound to: 24'b100001000000000000000001 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 prbs_gen2default:default2 572default:default2 12default:default2 |D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/hprbs_framing/prbs_gen.vhd2default:default2 902default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 prbs_chk2default:default2 |D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/hprbs_framing/prbs_chk.vhd2default:default2 922default:default8@Z8-638hpx j %s *synth2R > Parameter g_GOOD_FRAME_TO_LOCK bound to: 15 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter g_BAD_FRAME_TO_UNLOCK bound to: 5 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter g_PARAL_FACTOR bound to: 234 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter g_PRBS_POLYNOMIAL bound to: 24'b100001000000000000000001 2default:defaulthp x  e %s *synth2M 9 Parameter g_PARAL_FACTOR bound to: 234 - type: integer 2default:defaulthp x  q %s *synth2Y E Parameter g_PRBS_POLYNOMIAL bound to: 24'b100001000000000000000001 2default:defaulthp x   default block is never used226*oasys2 |D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/hprbs_framing/prbs_chk.vhd2default:default2 1802default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 prbs_chk2default:default2 582default:default2 12default:default2 |D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/hprbs_framing/prbs_chk.vhd2default:default2 922default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" unlock_counter2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/counters/firmware/hdl/unlock_counter.vhd2default:default2 312default:default8@Z8-638hpx ] %s *synth2E 1 Parameter G_WIDTH bound to: 32 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" unlock_counter2default:default2 592default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/counters/firmware/hdl/unlock_counter.vhd2default:default2 312default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' ttc2_frame_splitter2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/tcds2_link/firmware/hdl/ttc2_frame_splitter.vhd2default:default2 392default:default8@Z8-638hpx T %s *synth2< ( Parameter G_LINK_SPEED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' ttc2_frame_splitter2default:default2 602default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/tcds2_link/firmware/hdl/ttc2_frame_splitter.vhd2default:default2 392default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& tts2_frame_builder2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/tcds2_link/firmware/hdl/tts2_frame_builder.vhd2default:default2 392default:default8@Z8-638hpx T %s *synth2< ( Parameter G_LINK_SPEED bound to: 1'b0 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2& tts2_frame_builder2default:default2 612default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/cms-tcds2-firmware-master/components/tcds2_link/firmware/hdl/tts2_frame_builder.vhd2default:default2 392default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2* lpgbtfpga_uplink_fixed2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/lpgbtfpga_uplink_fixed.vhd2default:default2 912default:default8@Z8-638hpx ] %s *synth2E 1 Parameter DATARATE bound to: 2 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_multicyleDelay bound to: 3 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter c_clockRatio bound to: 8 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter c_mgtWordWidth bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter c_allowedFalseHeader bound to: 5 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter c_allowedFalseHeaderOverN bound to: 64 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter c_requiredTrueHeader bound to: 32 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_bitslip_mindly bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter c_bitslip_waitdly bound to: 40 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter c_resetOnEven bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_resetDuration bound to: 10 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter c_wordRatio bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter c_wordSize bound to: 32 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter c_headerPattern bound to: 2'b01 2default:defaulthp x  i %s *synth2Q = Parameter c_allowedFalseHeader bound to: 5 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter c_allowedFalseHeaderOverN bound to: 64 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter c_requiredTrueHeader bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter c_resetOnEven bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_resetDuration bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_bitslip_mindly bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter c_bitslip_waitdly bound to: 40 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2* lpgbtfpga_framealigner2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_framealigner.vhd2default:default2 642default:default8@Z8-638hpx ` %s *synth2H 4 Parameter c_wordRatio bound to: 8 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter c_wordSize bound to: 32 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter c_headerPattern bound to: 2'b01 2default:defaulthp x  i %s *synth2Q = Parameter c_allowedFalseHeader bound to: 5 - type: integer 2default:defaulthp x  o %s *synth2W C Parameter c_allowedFalseHeaderOverN bound to: 64 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter c_requiredTrueHeader bound to: 32 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_alignementMode bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter c_resetOnEven bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_resetDuration bound to: 10 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter c_bitslip_mindly bound to: 2 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter c_bitslip_waitdly bound to: 40 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x   synthesizing module '%s'638*oasys2$ bit_synchronizer2default:default2 tD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/common/bit_synchronizer.vhd2default:default2 752default:default8@Z8-638hpx V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2$ bit_synchronizer2default:default2 622default:default2 12default:default2 tD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/common/bit_synchronizer.vhd2default:default2 752default:default8@Z8-256hpx V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x  V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2* lpgbtfpga_framealigner2default:default2 632default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_framealigner.vhd2default:default2 642default:default8@Z8-256hpx a %s *synth2I 5 Parameter c_clockRatio bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter c_inputWidth bound to: 32 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter c_outputWidth bound to: 256 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter c_counterInitValue bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2' lpgbtfpga_rxGearbox2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_rxgearbox.vhd2default:default2 512default:default8@Z8-638hpx a %s *synth2I 5 Parameter c_clockRatio bound to: 8 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter c_inputWidth bound to: 32 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter c_outputWidth bound to: 256 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter c_counterInitValue bound to: 2 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' lpgbtfpga_rxGearbox2default:default2 642default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_rxgearbox.vhd2default:default2 512default:default8@Z8-256hpx ] %s *synth2E 1 Parameter DATARATE bound to: 2 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2+ lpgbtfpga_deinterleaver2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_deinterleaver.vhd2default:default2 432default:default8@Z8-638hpx ] %s *synth2E 1 Parameter DATARATE bound to: 2 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2+ lpgbtfpga_deinterleaver2default:default2 652default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_deinterleaver.vhd2default:default2 432default:default8@Z8-256hpx ] %s *synth2E 1 Parameter DATARATE bound to: 2 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2% lpgbtfpga_decoder2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_decoder.vhd2default:default2 512default:default8@Z8-638hpx ] %s *synth2E 1 Parameter DATARATE bound to: 2 - type: integer 2default:defaulthp x  X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter N bound to: 31 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter K bound to: 29 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SYMB_BITWIDTH bound to: 5 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2% rs_decoder_N31K292default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/fec_rsDecoderN31K29.vhd2default:default2 312default:default8@Z8-638hpx W %s *synth2? + Parameter N bound to: 31 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter K bound to: 29 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SYMB_BITWIDTH bound to: 5 - type: integer 2default:defaulthp x   default block is never used226*oasys2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/fec_rsDecoderN31K29.vhd2default:default2 722default:default8@Z8-226hpx  default block is never used226*oasys2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/fec_rsDecoderN31K29.vhd2default:default2 1192default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys2% rs_decoder_N31K292default:default2 662default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/fec_rsDecoderN31K29.vhd2default:default2 312default:default8@Z8-256hpx W %s *synth2? + Parameter N bound to: 31 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter K bound to: 29 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SYMB_BITWIDTH bound to: 5 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% lpgbtfpga_decoder2default:default2 672default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_decoder.vhd2default:default2 512default:default8@Z8-256hpx X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2) lpgbtfpga_descrambler2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_descrambler.vhd2default:default2 452default:default8@Z8-638hpx X %s *synth2@ , Parameter FEC bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2+ descrambler58bitOrder582default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/descrambler_58bitOrder58.vhd2default:default2 342default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2+ descrambler58bitOrder582default:default2 682default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/descrambler_58bitOrder58.vhd2default:default2 342default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2+ descrambler60bitOrder582default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/descrambler_60bitOrder58.vhd2default:default2 342default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2+ descrambler60bitOrder582default:default2 692default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/descrambler_60bitOrder58.vhd2default:default2 342default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2) lpgbtfpga_descrambler2default:default2 702default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/uplink/lpgbtfpga_descrambler.vhd2default:default2 452default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2* lpgbtfpga_uplink_fixed2default:default2 712default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fpga/lpgbtfpga_uplink_fixed.vhd2default:default2 912default:default8@Z8-256hpx  synthesizing module '%s'638*oasys24 bit_synchronizer__parameterized12default:default2 tD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/common/bit_synchronizer.vhd2default:default2 752default:default8@Z8-638hpx V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys24 bit_synchronizer__parameterized12default:default2 712default:default2 12default:default2 tD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/common/bit_synchronizer.vhd2default:default2 752default:default8@Z8-256hpx b %s *synth2J 6 Parameter BUFGCE_DIVIDE bound to: 8 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter CE_TYPE bound to: SYNC - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter HARDSYNC_CLR bound to: FALSE - type: string 2default:defaulthp x  V %s *synth2> * Parameter IS_CE_INVERTED bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter IS_CLR_INVERTED bound to: 1'b0 2default:defaulthp x  U %s *synth2= ) Parameter IS_I_INVERTED bound to: 1'b0 2default:defaulthp x  g %s *synth2O ; Parameter SIM_DEVICE bound to: ULTRASCALE - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter STARTUP_SYNC bound to: FALSE - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2$ bufgce_clk_40_rx2default:default2 BUFGCE_DIV2default:default2k UD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/TCDS2_if.vhd2default:default2 5002default:default8@Z8-113hpx  synthesizing module '%s'%s4497*oasys2$ upLinkTxDataPath2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkTxDataPath.v2default:default2 402default:default8@Z8-6157hpx  synthesizing module '%s'%s4497*oasys2$ upLinkDataSelect2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkDataSelect.v2default:default2 342default:default8@Z8-6157hpx L %s *synth24 Parameter FEC5 bound to: 1'b0 2default:defaulthp x  M %s *synth25 ! Parameter FEC12 bound to: 1'b1 2default:defaulthp x  L %s *synth24 Parameter DR5G bound to: 1'b0 2default:defaulthp x  M %s *synth25 ! Parameter DR10G bound to: 1'b1 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2$ upLinkDataSelect2default:default2 2default:default2 722default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkDataSelect.v2default:default2 342default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2# upLinkScrambler2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkScrambler.v2default:default2 352default:default8@Z8-6157hpx L %s *synth24 Parameter FEC5 bound to: 1'b0 2default:defaulthp x  M %s *synth25 ! Parameter FEC12 bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter TxDataRate5G12 bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter TxDataRate10G24 bound to: 1'b1 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2) scrambler58bitOrder582default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler58bitOrder58.v2default:default2 142default:default8@Z8-6157hpx  %s *synth2s _ Parameter INIT_SEED bound to: 58'b0100010010101010111010101000010010001100011011101000010001 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2) scrambler58bitOrder582default:default2 2default:default2 732default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler58bitOrder58.v2default:default2 142default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2) scrambler60bitOrder582default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler60bitOrder58.v2default:default2 152default:default8@Z8-6157hpx  %s *synth2u a Parameter INIT_SEED bound to: 60'b000111111010101010110001001001001100101011011110000100010001 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2) scrambler60bitOrder582default:default2 2default:default2 742default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler60bitOrder58.v2default:default2 152default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2) scrambler51bitOrder492default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler51bitOrder49.v2default:default2 152default:default8@Z8-6157hpx  %s *synth2l X Parameter INIT_SEED bound to: 51'b111111100011000001101011011101010101100101000010100 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2) scrambler51bitOrder492default:default2 2default:default2 752default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler51bitOrder49.v2default:default2 152default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2) scrambler53bitOrder492default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler53bitOrder49.v2default:default2 152default:default8@Z8-6157hpx  %s *synth2n Z Parameter INIT_SEED bound to: 53'b11111000101100011010010001010101010110001101000011010 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2) scrambler53bitOrder492default:default2 2default:default2 762default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/scrambler53bitOrder49.v2default:default2 152default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2# upLinkScrambler2default:default2 2default:default2 772default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkScrambler.v2default:default2 352default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2$ upLinkFECEncoder2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkFECEncoder.v2default:default2 342default:default8@Z8-6157hpx  synthesizing module '%s'%s4497*oasys2% rs_encoder_N31K292default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 302default:default8@Z8-6157hpx W %s *synth2? + Parameter N bound to: 31 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter K bound to: 29 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SYMB_BITWIDTH bound to: 5 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter P bound to: 2 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter INP_BW bound to: 145 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter OUT_BW bound to: 155 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter POL_BW bound to: 10 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter STG_BW bound to: 15 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 gf_add_52default:default2 2default:default2 ~D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_add_5.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_add_52default:default2 2default:default2 782default:default2 12default:default2 ~D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_add_5.v2default:default2 12default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 gf_multBy2_52default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy2_5.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_multBy2_52default:default2 2default:default2 792default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy2_5.v2default:default2 12default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 gf_multBy3_52default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy3_5.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_multBy3_52default:default2 2default:default2 802default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy3_5.v2default:default2 12default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2% rs_encoder_N31K292default:default2 2default:default2 812default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N31K29.v2default:default2 302default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2% rs_encoder_N15K132default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 302default:default8@Z8-6157hpx W %s *synth2? + Parameter N bound to: 15 - type: integer 2default:defaulthp x  W %s *synth2? + Parameter K bound to: 13 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SYMB_BITWIDTH bound to: 4 - type: integer 2default:defaulthp x  V %s *synth2> * Parameter P bound to: 2 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter INP_BW bound to: 52 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter OUT_BW bound to: 60 - type: integer 2default:defaulthp x  [ %s *synth2C / Parameter POL_BW bound to: 8 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter STG_BW bound to: 12 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2 gf_add_42default:default2 2default:default2 ~D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_add_4.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_add_42default:default2 2default:default2 822default:default2 12default:default2 ~D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_add_4.v2default:default2 12default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 gf_multBy2_42default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy2_4.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_multBy2_42default:default2 2default:default2 832default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy2_4.v2default:default2 12default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2 gf_multBy3_42default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy3_4.v2default:default2 12default:default8@Z8-6157hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2 gf_multBy3_42default:default2 2default:default2 842default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/gf_multBy3_4.v2default:default2 12default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2% rs_encoder_N15K132default:default2 2default:default2 852default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/rs_encoder_N15K13.v2default:default2 302default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2$ upLinkFECEncoder2default:default2 2default:default2 862default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkFECEncoder.v2default:default2 342default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys2% upLinkInterleaver2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkInterleaver.v2default:default2 282default:default8@Z8-6157hpx L %s *synth24 Parameter FEC5 bound to: 1'b0 2default:defaulthp x  M %s *synth25 ! Parameter FEC12 bound to: 1'b1 2default:defaulthp x  V %s *synth2> * Parameter TxDataRate5G12 bound to: 1'b0 2default:defaulthp x  W %s *synth2? + Parameter TxDataRate10G24 bound to: 1'b1 2default:defaulthp x  O %s *synth27 # Parameter HEADER bound to: 2'b10 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2% upLinkInterleaver2default:default2 2default:default2 872default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkInterleaver.v2default:default2 282default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2$ upLinkTxDataPath2default:default2 2default:default2 882default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkTxDataPath.v2default:default2 402default:default8@Z8-6155hpx ` %s *synth2H 4 Parameter WORD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DATA_RATE bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2# upLinkTxGearBox2default:default2 2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkTxGearBox.v2default:default2 292default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter WORD_WIDTH bound to: 32 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter DATA_RATE bound to: 2 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2# upLinkTxGearBox2default:default2 2default:default2 892default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tclink/firmware/source/datapath/lpgbt-fe/lpgbt-fe/upLinkTxGearBox.v2default:default2 292default:default8@Z8-6155hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 TCDS2_if2default:default2 902default:default2 12default:default2k UD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/TTC_if/TCDS2_if.vhd2default:default2 712default:default8@Z8-256hpx [ %s *synth2C / Parameter BLK_No bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2+ xlx_ku_gbt_ngFEC_design2default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2" gbt_bank_reset2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_reset.vhd2default:default2 1142default:default8@Z8-638hpx i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_bank_reset2default:default2 912default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_reset.vhd2default:default2 1142default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 gbt_bankx122default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 mgtX122default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2' mgt_ip_example_init2default:default2 2default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_init.v2default:default2 592default:default8@Z8-6157hpx o %s *synth2W C Parameter P_FREERUN_FREQUENCY bound to: 50.000000 - type: double 2default:defaulthp x  u %s *synth2] I Parameter P_TX_TIMER_DURATION_US bound to: 30000.000000 - type: double 2default:defaulthp x  v %s *synth2^ J Parameter P_RX_TIMER_DURATION_US bound to: 130000.000000 - type: double 2default:defaulthp x  Q %s *synth29 % Parameter ST_START bound to: 2'b00 2default:defaulthp x  S %s *synth2; ' Parameter ST_TX_WAIT bound to: 2'b01 2default:defaulthp x  S %s *synth2; ' Parameter ST_RX_WAIT bound to: 2'b10 2default:defaulthp x  S %s *synth2; ' Parameter ST_MONITOR bound to: 2'b11 2default:defaulthp x   synthesizing module '%s'%s4497*oasys25 !mgt_ip_example_reset_synchronizer2default:default2 2default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_reset_sync.v2default:default2 582default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter FREQUENCY bound to: 512 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys25 !mgt_ip_example_reset_synchronizer2default:default2 2default:default2 922default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_reset_sync.v2default:default2 582default:default8@Z8-6155hpx  synthesizing module '%s'%s4497*oasys23 mgt_ip_example_bit_synchronizer2default:default2 2default:default2t ^D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_bit_sync.v2default:default2 582default:default8@Z8-6157hpx V %s *synth2> * Parameter INITIALIZE bound to: 5'b00000 2default:defaulthp x  ` %s *synth2H 4 Parameter FREQUENCY bound to: 512 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys23 mgt_ip_example_bit_synchronizer2default:default2 2default:default2 932default:default2 12default:default2t ^D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_bit_sync.v2default:default2 582default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2' mgt_ip_example_init2default:default2 2default:default2 942default:default2 12default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip_example_init.v2default:default2 592default:default8@Z8-6155hpx  synthesizing module '%s'638*oasys2 mgt_HPTD2default:default2d ND:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgt_HPTD.vhd2default:default2 792default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2 mgt_ip2default:default2 pD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/synth_1/.Xil/Vivado-15164-baby/realtime/mgt_ip_stub.vhdl2default:default2 602default:default8@Z8-638hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys22 xpm_cdc_single__parameterized22default:default2 2default:default2P :D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv2default:default2 1532default:default8@Z8-6157hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter VERSION bound to: 0 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys22 xpm_cdc_single__parameterized22default:default2 2default:default2 942default:default2 12default:default2P :D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv2default:default2 1532default:default8@Z8-6155hpx  synthesizing module '%s'638*oasys2$ tx_phase_aligner2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_phase_aligner.vhd2default:default2 1682default:default8@Z8-638hpx b %s *synth2J 6 Parameter g_DRP_NPORT_CTRL bound to: 1 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter g_DRP_ADDR_TXPI_PPM_CFG bound to: 9'b010011010 2default:defaulthp x  f %s *synth2N : Parameter g_SPEED_PD_FACTOR bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter g_PI_COARSE_STEP bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter g_PI_FINE_STEP bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2( tx_phase_aligner_fsm2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_phase_aligner_fsm.vhd2default:default2 1162default:default8@Z8-638hpx f %s *synth2N : Parameter g_SPEED_PD_FACTOR bound to: 7 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter g_PI_COARSE_STEP bound to: 8 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter g_PI_FINE_STEP bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2( tx_phase_aligner_fsm2default:default2 952default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_phase_aligner_fsm.vhd2default:default2 1162default:default8@Z8-256hpx b %s *synth2J 6 Parameter g_DRP_NPORT_CTRL bound to: 1 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter g_DRP_ADDR_TXPI_PPM_CFG bound to: 9'b010011010 2default:defaulthp x   synthesizing module '%s'638*oasys2 tx_pi_ctrl2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_pi_ctrl.vhd2default:default2 1152default:default8@Z8-638hpx b %s *synth2J 6 Parameter g_DRP_NPORT_CTRL bound to: 1 - type: bool 2default:defaulthp x  g %s *synth2O ; Parameter g_DRP_ADDR_TXPI_PPM_CFG bound to: 9'b010011010 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 tx_pi_ctrl2default:default2 962default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_pi_ctrl.vhd2default:default2 1152default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2' fifo_fill_level_acc2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/fifo_fill_level_acc.vhd2default:default2 882default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2' fifo_fill_level_acc2default:default2 972default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/fifo_fill_level_acc.vhd2default:default2 882default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ tx_phase_aligner2default:default2 982default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/tx_phase_aligner-master/rev_0_1_0/source/synth/imports/design_tx_aligner/tx_phase_aligner.vhd2default:default2 1682default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 mgt_HPTD2default:default2 992default:default2 12default:default2d ND:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgt_HPTD.vhd2default:default2 792default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# mgt_bitslipctrl2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/mgt/mgt_bitslipctrl.vhd2default:default2 582default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# mgt_bitslipctrl2default:default2 1002default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/mgt/mgt_bitslipctrl.vhd2default:default2 582default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2/ mgt_framealigner_pattsearch2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/mgt/mgt_framealigner_pattsearch.vhd2default:default2 592default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2/ mgt_framealigner_pattsearch2default:default2 1012default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/mgt/mgt_framealigner_pattsearch.vhd2default:default2 592default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 mgtX122default:default2 1022default:default2 12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 gbt_tx2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx.vhd2default:default2 412default:default8@Z8-638hpx ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2$ gbt_tx_scrambler2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_scrambler.vhd2default:default2 782default:default8@Z8-638hpx ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2* gbt_tx_scrambler_21bit2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_scrambler_21bit.vhd2default:default2 582default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2* gbt_tx_scrambler_21bit2default:default2 1032default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_scrambler_21bit.vhd2default:default2 582default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ gbt_tx_scrambler2default:default2 1042default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_scrambler.vhd2default:default2 782default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" gbt_tx_encoder2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder.vhd2default:default2 692default:default8@Z8-638hpx ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys24 gbt_tx_encoder_gbtframe_rsencode2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_rsencode.vhd2default:default2 312default:default8@Z8-638hpx  synthesizing module '%s'638*oasys23 gbt_tx_encoder_gbtframe_polydiv2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_polydiv.vhd2default:default2 332default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_tx_encoder_gbtframe_polydiv2default:default2 1052default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_polydiv.vhd2default:default2 332default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys24 gbt_tx_encoder_gbtframe_rsencode2default:default2 1062default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_rsencode.vhd2default:default2 312default:default8@Z8-256hpx  synthesizing module '%s'638*oasys23 gbt_tx_encoder_gbtframe_intlver2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_intlver.vhd2default:default2 282default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_tx_encoder_gbtframe_intlver2default:default2 1072default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder_gbtframe_intlver.vhd2default:default2 282default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_tx_encoder2default:default2 1082default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx_encoder.vhd2default:default2 692default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 gbt_tx2default:default2 1092default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_tx/gbt_tx.vhd2default:default2 412default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" gbt_tx_gearbox2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_tx_gearbox.vhd2default:default2 652default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_tx_gearbox2default:default2 1102default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_tx_gearbox.vhd2default:default2 652default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" gbt_rx_gearbox2default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_rx_gearbox.vhd2default:default2 512default:default8@Z8-638hpx d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_rx_gearbox2default:default2 1112default:default2 12default:default2~ hD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_rx_gearbox.vhd2default:default2 512default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 gbt_rx2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx.vhd2default:default2 542default:default8@Z8-638hpx ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2" gbt_rx_decoder2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder.vhd2default:default2 572default:default8@Z8-638hpx ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys25 !gbt_rx_decoder_gbtframe_deintlver2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_deintlver.vhd2default:default2 352default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys25 !gbt_rx_decoder_gbtframe_deintlver2default:default2 1122default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_deintlver.vhd2default:default2 352default:default8@Z8-256hpx  synthesizing module '%s'638*oasys21 gbt_rx_decoder_gbtframe_rsdec2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_rsdec.vhd2default:default2 462default:default8@Z8-638hpx  synthesizing module '%s'638*oasys23 gbt_rx_decoder_gbtframe_syndrom2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_syndrom.vhd2default:default2 422default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_rx_decoder_gbtframe_syndrom2default:default2 1132default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_syndrom.vhd2default:default2 422default:default8@Z8-256hpx  synthesizing module '%s'638*oasys23 gbt_rx_decoder_gbtframe_lmbddet2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_lmbddet.vhd2default:default2 422default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_rx_decoder_gbtframe_lmbddet2default:default2 1142default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_lmbddet.vhd2default:default2 422default:default8@Z8-256hpx  synthesizing module '%s'638*oasys25 !gbt_rx_decoder_gbtframe_errlcpoly2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_errlcpoly.vhd2default:default2 442default:default8@Z8-638hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys25 !gbt_rx_decoder_gbtframe_errlcpoly2default:default2 1152default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_errlcpoly.vhd2default:default2 442default:default8@Z8-256hpx  synthesizing module '%s'638*oasys23 gbt_rx_decoder_gbtframe_chnsrch2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_chnsrch.vhd2default:default2 442default:default8@Z8-638hpx  synthesizing module '%s'638*oasys23 gbt_rx_decoder_gbtframe_elpeval2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_elpeval.vhd2default:default2 412default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_rx_decoder_gbtframe_elpeval2default:default2 1162default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_elpeval.vhd2default:default2 412default:default8@Z8-256hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys23 gbt_rx_decoder_gbtframe_chnsrch2default:default2 1172default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_chnsrch.vhd2default:default2 442default:default8@Z8-256hpx  synthesizing module '%s'638*oasys25 !gbt_rx_decoder_gbtframe_rs2errcor2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_rs2errcor.vhd2default:default2 452default:default8@Z8-638hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1302default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1552default:default8@Z8-226hpx  default block is never used226*oasys2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_fpga6_1_0_patch/gbt_bank_package.vhd2default:default2 1552default:default8@Z8-226hpx  %done synthesizing module '%s' (%s#%s)256*oasys25 !gbt_rx_decoder_gbtframe_rs2errcor2default:default2 1182default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_rs2errcor.vhd2default:default2 452default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys21 gbt_rx_decoder_gbtframe_rsdec2default:default2 1192default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder_gbtframe_rsdec.vhd2default:default2 462default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 RX_RESET_I2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder.vhd2default:default2 1242default:default8@Z8-614hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_rx_decoder2default:default2 1202default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_decoder.vhd2default:default2 572default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2& gbt_rx_descrambler2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_descrambler.vhd2default:default2 572default:default8@Z8-638hpx ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2, gbt_rx_descrambler_21bit2default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_descrambler_21bit.vhd2default:default2 562default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2, gbt_rx_descrambler_21bit2default:default2 1212default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_descrambler_21bit.vhd2default:default2 562default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2& gbt_rx_descrambler2default:default2 1222default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx_descrambler.vhd2default:default2 572default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 gbt_rx2default:default2 1232default:default2 12default:default2 D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt-fpga-gbt_fpga_6_1_0/gbt_bank/core_sources/gbt_rx/gbt_rx.vhd2default:default2 542default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 gbt_bankx122default:default2 1242default:default2 12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2+ xlx_ku_gbt_ngFEC_design2default:default2 1252default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-256hpx [ %s *synth2C / Parameter BLK_No bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2/ gbt_bankx12__parameterized02default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2* mgtX12__parameterized02default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 1 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2* mgtX12__parameterized02default:default2 1252default:default2 12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2/ gbt_bankx12__parameterized02default:default2 1252default:default2 12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized12default:default2 1252default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-256hpx [ %s *synth2C / Parameter BLK_No bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized32default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2/ gbt_bankx12__parameterized12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2* mgtX12__parameterized12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 2 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2* mgtX12__parameterized12default:default2 1252default:default2 12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2/ gbt_bankx12__parameterized12default:default2 1252default:default2 12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized32default:default2 1252default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-256hpx [ %s *synth2C / Parameter BLK_No bound to: 3 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized52default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 3 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter INITIAL_DELAY bound to: 50000000 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2/ gbt_bankx12__parameterized22default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 3 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter TX_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter RX_OPTIMIZATION bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter TX_ENCODING bound to: 0 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter RX_ENCODING bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2* mgtX12__parameterized22default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-638hpx [ %s *synth2C / Parameter BLK_No bound to: 3 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2* mgtX12__parameterized22default:default2 1252default:default2 12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/mgtX12.vhd2default:default2 652default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2/ gbt_bankx12__parameterized22default:default2 1252default:default2 12default:default2g QD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/gbt_bankx12.vhd2default:default2 1042default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2; 'xlx_ku_gbt_ngFEC_design__parameterized52default:default2 1252default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/xlx_ku_gbt_ngFEC_designx12.vhd2default:default2 1652default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 prbs2default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/GBT_tools/prbs.vhd2default:default2 432default:default8@Z8-638hpx ` %s *synth2H 4 Parameter seed bound to: 20'b00101010001000000001 2default:defaulthp x  Z %s *synth2B . Parameter inverter bound to: 0 - type: bool 2default:defaulthp x  X %s *synth2@ , Parameter hbhehf bound to: 0 - type: bool 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 prbs2default:default2 1262default:default2 12default:default2j TD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/GBT_tools/prbs.vhd2default:default2 432default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 ngFEC_module2default:default2{ eD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/ngFEC_module.vhd2default:default2 582default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2 Module_RAM2default:default2y cD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/Module_RAM.vhd2default:default2 472default:default8@Z8-638hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 65536 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CLOCKING_MODE bound to: common_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_A bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_A bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_A bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_B bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys25 !xpm_memory_tdpram__parameterized22default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 88712default:default8@Z8-6157hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 65536 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CLOCKING_MODE bound to: common_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_A bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_A bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_A bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_B bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  g %s *synth2O ; Parameter P_MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter P_CLOCKING_MODE bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter P_ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter P_WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_WRITE_MODE_A bound to: 2 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys23 xpm_memory_base__parameterized02default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter MEMORY_TYPE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 65536 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLOCKING_MODE bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter VERSION bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_A bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_A bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_A bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter ADDR_WIDTH_B bound to: 11 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_B bound to: 32 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_MIN_WIDTH_DATA bound to: 32 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MIN_WIDTH_DATA_ECC bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_MAX_DEPTH_DATA bound to: 2048 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter P_MEMORY_OPT bound to: yes - type: string 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_A bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_A bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_B bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_WIDTH_ADDR_WRITE_A bound to: 11 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_WIDTH_ADDR_WRITE_B bound to: 11 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_READ_A bound to: 11 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_READ_B bound to: 11 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_A bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_SDP_WRITE_MODE bound to: yes - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter rsta_loop_iter bound to: 32 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter rstb_loop_iter bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter NUM_CHAR_LOC bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter MAX_NUM_CHAR bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter P_MIN_WIDTH_DATA_SHFT bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_MIN_WIDTH_DATA_LDW bound to: 4 - type: integer 2default:defaulthp x   Synth Info: %s 4384*oasys2 [XPM_MEMORY 20-2] MEMORY_INIT_FILE (none), MEMORY_INIT_PARAM together specify no memory initialization. Initial memory contents will be all 0's. 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 4902default:default8@Z8-6059hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys23 xpm_memory_base__parameterized02default:default2 2default:default2 1262default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys25 !xpm_memory_tdpram__parameterized22default:default2 2default:default2 1262default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 88712default:default8@Z8-6155hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CLOCKING_MODE bound to: common_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2% xpm_memory_sdpram2default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 84042default:default8@Z8-6157hpx d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  l %s *synth2T @ Parameter CLOCKING_MODE bound to: common_clock - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter WAKEUP_TIME bound to: disable_sleep - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_MODE_B bound to: no_change - type: string 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  g %s *synth2O ; Parameter P_MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter P_CLOCKING_MODE bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter P_ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter P_WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_OPTIMIZATION bound to: 1 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys23 xpm_memory_base__parameterized12default:default2 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter MEMORY_TYPE bound to: 1 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MEMORY_SIZE bound to: 16384 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter MEMORY_PRIMITIVE bound to: 2 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter CLOCKING_MODE bound to: 0 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter ECC_MODE bound to: 0 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter MEMORY_INIT_FILE bound to: none - type: string 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_INIT_PARAM bound to: (null) - type: string 2default:defaulthp x  e %s *synth2M 9 Parameter USE_MEM_INIT_MMI bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter USE_MEM_INIT bound to: 1 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter MEMORY_OPTIMIZATION bound to: true - type: string 2default:defaulthp x  ` %s *synth2H 4 Parameter WAKEUP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter AUTO_SLEEP_TIME bound to: 0 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter MESSAGE_CONTROL bound to: 0 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter VERSION bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter USE_EMBEDDED_CONSTRAINT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter CASCADE_HEIGHT bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WRITE_PROTECT bound to: 1 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_A bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_A bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_A bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_A bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_A bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_A bound to: SYNC - type: string 2default:defaulthp x  h %s *synth2P < Parameter WRITE_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter READ_DATA_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter BYTE_WRITE_WIDTH_B bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter ADDR_WIDTH_B bound to: 9 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter READ_RESET_VALUE_B bound to: 0 - type: string 2default:defaulthp x  c %s *synth2K 7 Parameter READ_LATENCY_B bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WRITE_MODE_B bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter RST_MODE_B bound to: SYNC - type: string 2default:defaulthp x  j %s *synth2R > Parameter P_MEMORY_PRIMITIVE bound to: block - type: string 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_A bound to: 32 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_MIN_WIDTH_DATA_B bound to: 32 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_MIN_WIDTH_DATA bound to: 32 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_MIN_WIDTH_DATA_ECC bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_MAX_DEPTH_DATA bound to: 512 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter P_ECC_MODE bound to: no_ecc - type: string 2default:defaulthp x  b %s *synth2J 6 Parameter P_MEMORY_OPT bound to: yes - type: string 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_A bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_COL_WRITE_B bound to: 32 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_COLS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_A bound to: 1 - type: integer 2default:defaulthp x  g %s *synth2O ; Parameter P_NUM_ROWS_WRITE_B bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_A bound to: 1 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter P_NUM_ROWS_READ_B bound to: 1 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_WRITE_A bound to: 9 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_WIDTH_ADDR_WRITE_B bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_WIDTH_ADDR_READ_A bound to: 9 - type: integer 2default:defaulthp x  h %s *synth2P < Parameter P_WIDTH_ADDR_READ_B bound to: 9 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  m %s *synth2U A Parameter P_WIDTH_ADDR_LSB_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_A bound to: 0 - type: integer 2default:defaulthp x  l %s *synth2T @ Parameter P_WIDTH_ADDR_LSB_READ_B bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_A bound to: 0 - type: integer 2default:defaulthp x  j %s *synth2R > Parameter P_ENABLE_BYTE_WRITE_B bound to: 0 - type: integer 2default:defaulthp x  e %s *synth2M 9 Parameter P_SDP_WRITE_MODE bound to: no - type: string 2default:defaulthp x  d %s *synth2L 8 Parameter rsta_loop_iter bound to: 32 - type: integer 2default:defaulthp x  d %s *synth2L 8 Parameter rstb_loop_iter bound to: 32 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter NUM_CHAR_LOC bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter MAX_NUM_CHAR bound to: 0 - type: integer 2default:defaulthp x  k %s *synth2S ? Parameter P_MIN_WIDTH_DATA_SHFT bound to: 32 - type: integer 2default:defaulthp x  i %s *synth2Q = Parameter P_MIN_WIDTH_DATA_LDW bound to: 4 - type: integer 2default:defaulthp x   Synth Info: %s 4384*oasys2 [XPM_MEMORY 20-2] MEMORY_INIT_FILE (none), MEMORY_INIT_PARAM together specify no memory initialization. Initial memory contents will be all 0's. 2default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 4902default:default8@Z8-6059hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys23 xpm_memory_base__parameterized12default:default2 2default:default2 1262default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 572default:default8@Z8-6155hpx  'done synthesizing module '%s'%s (%s#%s)4495*oasys2% xpm_memory_sdpram2default:default2 2default:default2 1272default:default2 12default:default2V @D:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv2default:default2 84042default:default8@Z8-6155hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 Module_RAM2default:default2 1282default:default2 12default:default2y cD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/Module_RAM.vhd2default:default2 472default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00000 2default:defaulthp x   synthesizing module '%s'638*oasys2% buffer_server_com2default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2% buffer_server_com2default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00001 2default:defaulthp x   synthesizing module '%s'638*oasys25 !buffer_server_com__parameterized12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00001 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys25 !buffer_server_com__parameterized12default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00010 2default:defaulthp x   synthesizing module '%s'638*oasys25 !buffer_server_com__parameterized32default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00010 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys25 !buffer_server_com__parameterized32default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00011 2default:defaulthp x   synthesizing module '%s'638*oasys25 !buffer_server_com__parameterized52default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00011 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys25 !buffer_server_com__parameterized52default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00100 2default:defaulthp x   synthesizing module '%s'638*oasys25 !buffer_server_com__parameterized72default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00100 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys25 !buffer_server_com__parameterized72default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00101 2default:defaulthp x   synthesizing module '%s'638*oasys25 !buffer_server_com__parameterized92default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00101 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys25 !buffer_server_com__parameterized92default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00110 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized112default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00110 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized112default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b00111 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized132default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b00111 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized132default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b01000 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized152default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b01000 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized152default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b01001 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized172default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b01001 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized172default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b01010 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized192default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b01010 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized192default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b01011 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized212default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b01011 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized212default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b01111 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized232default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b01111 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized232default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx U %s *synth2= ) Parameter partition bound to: 5'b11111 2default:defaulthp x   synthesizing module '%s'638*oasys26 "buffer_server_com__parameterized252default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-638hpx U %s *synth2= ) Parameter partition bound to: 5'b11111 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys26 "buffer_server_com__parameterized252default:default2 1292default:default2 12default:default2 mD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_server_comNew.vhd2default:default2 462default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2$ buffer_ngccm_com2default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_ngccm_comNew.vhd2default:default2 482default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2$ buffer_ngccm_com2default:default2 1302default:default2 12default:default2 lD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_ngccm_comNew.vhd2default:default2 482default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2) buffer_ngccm_jtag_com2default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_ngccm_jtag_comNew.vhd2default:default2 492default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2) buffer_ngccm_jtag_com2default:default2 1312default:default2 12default:default2 qD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/buffer_ngccm_jtag_comNew.vhd2default:default2 492default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ngFEC_module2default:default2 1322default:default2 12default:default2{ eD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngFEC_module/ngFEC_module.vhd2default:default2 582default:default8@Z8-256hpx ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2! delay_counter2default:default2o YD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/delay_counter.vhd2default:default2 412default:default8@Z8-638hpx ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2! delay_counter2default:default2 1332default:default2 12default:default2o YD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/delay_counter.vhd2default:default2 412default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2 ngCCM2default:default2m WD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/ngCCM.vhd2default:default2 942default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2 SyncRst2default:default2x bD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/SyncRst.vhd2default:default2 342default:default8@Z8-638hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 SyncRst2default:default2 1342default:default2 12default:default2x bD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/SyncRst.vhd2default:default2 342default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2" gbt_rx_checker2default:default2t ^D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/GBT_tools/gbt_rx_checker.vhd2default:default2 442default:default8@Z8-638hpx a %s *synth2I 5 Parameter seed_length bound to: 20 - type: integer 2default:defaulthp x  \ %s *synth2D 0 Parameter nobReg bound to: 32 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2" gbt_rx_checker2default:default2 1352default:default2 12default:default2t ^D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/GBT_tools/gbt_rx_checker.vhd2default:default2 442default:default8@Z8-256hpx ` %s *synth2H 4 Parameter DataBtoA_SZ bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DataAtoB_SZ bound to: 84 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WAIT_STATES_A bound to: 0 - type: integer 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2! CrossClock_RX2default:default2 2default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 202default:default8@Z8-6157hpx ` %s *synth2H 4 Parameter DataBtoA_SZ bound to: 1 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DataAtoB_SZ bound to: 84 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter WAIT_STATES_A bound to: 0 - type: integer 2default:defaulthp x  _ %s *synth2G 3 Parameter SHIFTA_MSB bound to: 3 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2! CrossClock_RX2default:default2 2default:default2 1362default:default2 12default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 202default:default8@Z8-6155hpx ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 IPbus_local2default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/IPbus_local.vhd2default:default2 582default:default8@Z8-638hpx ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 IPbus_local2default:default2 1372default:default2 12default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/IPbus_local.vhd2default:default2 582default:default8@Z8-256hpx ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter ADDR_MSB bound to: 11 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  f %s *synth2N : Parameter WRITE_PULSE_TICKS bound to: 2 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2" LocalI2CBridge2default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/LocalI2CBridge.vhd2default:default2 792default:default8@Z8-638hpx P %s *synth28 $ Parameter ARST_LVL bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2" i2c_master_usr2default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_top.vhd2default:default2 1132default:default8@Z8-638hpx P %s *synth28 $ Parameter ARST_LVL bound to: 1'b0 2default:defaulthp x   synthesizing module '%s'638*oasys2( i2c_master_byte_ctrl2default:default2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_byte_ctrl.vhd2default:default2 1142default:default8@Z8-638hpx  synthesizing module '%s'638*oasys2' i2c_master_bit_ctrl2default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_bit_ctrl.vhd2default:default2 1792default:default8@Z8-638hpx Y %s *synth2A - Parameter SIZE bound to: 8 - type: integer 2default:defaulthp x  P %s *synth28 $ Parameter DOUT_RST bound to: 1'b1 2default:defaulthp x   synthesizing module '%s'%s4497*oasys2! glitch_filter2default:default2 2default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/glitch_filter.v2default:default2 572default:default8@Z8-6157hpx Y %s *synth2A - Parameter SIZE bound to: 8 - type: integer 2default:defaulthp x  P %s *synth28 $ Parameter DOUT_RST bound to: 1'b1 2default:defaulthp x  \ %s *synth2D 0 Parameter BUFSIZE bound to: 7 - type: integer 2default:defaulthp x   'done synthesizing module '%s'%s (%s#%s)4495*oasys2! glitch_filter2default:default2 2default:default2 1382default:default2 12default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/glitch_filter.v2default:default2 572default:default8@Z8-6155hpx Y %s *synth2A - Parameter SIZE bound to: 8 - type: integer 2default:defaulthp x  P %s *synth28 $ Parameter DOUT_RST bound to: 1'b1 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2' i2c_master_bit_ctrl2default:default2 1392default:default2 12default:default2 iD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_bit_ctrl.vhd2default:default2 1792default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2( i2c_master_byte_ctrl2default:default2 1402default:default2 12default:default2 jD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_byte_ctrl.vhd2default:default2 1142default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" i2c_master_usr2default:default2 1412default:default2 12default:default2z dD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/i2c_master_top.vhd2default:default2 1132default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2" LocalI2CBridge2default:default2 1422default:default2 12default:default2v `D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/LocalI2CBridge.vhd2default:default2 792default:default8@Z8-256hpx  synthesizing module '%s'638*oasys2# LocalJTAGBridge2default:default2w aD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/LocalJTAGBridge.vhd2default:default2 942default:default8@Z8-638hpx ` %s *synth2H 4 Parameter gADDR_BITS bound to: 10 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter gDATA_BITS bound to: 32 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 JTAGMaster2default:default2r \D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/jtagMaster.vhd2default:default2 922default:default8@Z8-638hpx ` %s *synth2H 4 Parameter gADDR_BITS bound to: 10 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter gDATA_BITS bound to: 32 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter gADDR_BITS bound to: 10 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter gDATA_BITS bound to: 32 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 RAM2default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/jtagBram.vhd2default:default2 662default:default8@Z8-638hpx ` %s *synth2H 4 Parameter gADDR_BITS bound to: 10 - type: integer 2default:defaulthp x  ` %s *synth2H 4 Parameter gDATA_BITS bound to: 32 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 RAM2default:default2 1432default:default2 12default:default2p ZD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/jtagBram.vhd2default:default2 662default:default8@Z8-256hpx a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x   %done synthesizing module '%s' (%s#%s)256*oasys2 JTAGMaster2default:default2 1442default:default2 12default:default2r \D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/jtagMaster.vhd2default:default2 922default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2# LocalJTAGBridge2default:default2 1452default:default2 12default:default2w aD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/LocalJTAGBridge.vhd2default:default2 942default:default8@Z8-256hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 sel_sec_jtag2default:default2m WD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/ngCCM.vhd2default:default2 10862default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 sel_sec_jtag2default:default2m WD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/ngCCM.vhd2default:default2 11072default:default8@Z8-614hpx  Esignal '%s' is read in the process but is not in the sensitivity list614*oasys2 sel_sec_jtag2default:default2m WD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/ngCCM.vhd2default:default2 11272default:default8@Z8-614hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ngCCM2default:default2 1462default:default2 12default:default2m WD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/ngCCM.vhd2default:default2 942default:default8@Z8-256hpx ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  ] %s *synth2E 1 Parameter orbit bound to: 3564 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter DEST_SYNC_FF bound to: 4 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter INIT_SYNC_FF bound to: 0 - type: integer 2default:defaulthp x  c %s *synth2K 7 Parameter SIM_ASSERT_CHK bound to: 0 - type: integer 2default:defaulthp x  b %s *synth2J 6 Parameter SRC_INPUT_REG bound to: 0 - type: integer 2default:defaulthp x  a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x   synthesizing module '%s'638*oasys2 I2C_if2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 542default:default8@Z8-638hpx a %s *synth2I 5 Parameter WAIT_STATES bound to: 10 - type: integer 2default:defaulthp x  U %s *synth2= ) Parameter partition bound to: 5'b00000 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00001 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00010 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00011 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00100 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00101 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00110 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b00111 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx U %s *synth2= ) Parameter partition bound to: 5'b01000 2default:defaulthp x  [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_scl_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2892default:default8@Z8-113hpx [ %s *synth2C / Parameter DRIVE bound to: 12 - type: integer 2default:defaulthp x  ^ %s *synth2F 2 Parameter IBUF_LOW_PWR bound to: 1 - type: bool 2default:defaulthp x  d %s *synth2L 8 Parameter IOSTANDARD bound to: DEFAULT - type: string 2default:defaulthp x  [ %s *synth2C / Parameter SLEW bound to: SLOW - type: string 2default:defaulthp x   ,binding component instance '%s' to cell '%s'113*oasys2 i2c_sda_inst2default:default2 IOBUF2default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 2902default:default8@Z8-113hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 I2C_if2default:default2 1472default:default2 12default:default2b LD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/I2C_if.vhd2default:default2 542default:default8@Z8-256hpx  %done synthesizing module '%s' (%s#%s)256*oasys2 ngFEC_top2default:default2 1482default:default2 12default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC_top.vhd2default:default2 792default:default8@Z8-256hpx  %s *synth2 yFinished RTL Elaboration : Time (s): cpu = 00:00:30 ; elapsed = 00:00:30 . Memory (MB): peak = 2000.090 ; gain = 791.625 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2016.402 ; gain = 807.938 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2016.402 ; gain = 807.938 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:402default:default2 00:00:402default:default2 4644.0632default:default2 127.3712default:defaultZ17-268hp x  h -Analyzing %s Unisim elements for replacement 17*netlist2 10312default:defaultZ29-17hpx k 2Unisim Transformation completed in %s CPU seconds 28*netlist2 172default:defaultZ29-28hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx >  Processing XDC Constraints 244*projectZ1-262hpx = Initializing timing engine 348*projectZ1-569hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2i Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2~ hd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/mgt_ip/mgt_ip/mgt_ip_in_context.xdc2default:default2j Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2 kd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/ttc_mgt/ttc_mgt/ttc_mgt_in_context.xdc2default:default24 i_tcds2_if/i_mgt_wrapper/i_mgt 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2 kd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/ttc_mgt/ttc_mgt/ttc_mgt_in_context.xdc2default:default24 i_tcds2_if/i_mgt_wrapper/i_mgt 2default:default8Z20-847hpx  $Parsing XDC File [%s] for cell '%s' 848* designutils2 d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0_in_context.xdc2default:default2 eth/phy 2default:default8Z20-848hpx  -Finished Parsing XDC File [%s] for cell '%s' 847* designutils2 d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0_in_context.xdc2default:default2 eth/phy 2default:default8Z20-847hpx  Parsing XDC File [%s] 179* designutils2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default8Z20-179hpx  Deriving generated clocks 2*timing2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1292default:default8@Z38-2hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2 get_clocks: 2default:default2 00:00:532default:default2 00:00:342default:default2 7974.6412default:default2 277.3132default:defaultZ17-268hp x   No pins matched '%s'. 508* planAhead2H 4stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/DINADIN[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1382default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1392default:default8@Z20-1567hpx  No pins matched '%s'. 508* planAhead2H 4stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/DINADIN[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1402default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1412default:default8@Z20-1567hpx  No pins matched '%s'. 508* planAhead2H 4stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/DINADIN[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1422default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1432default:default8@Z20-1567hpx  No pins matched '%s'. 508* planAhead2H 4stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/DINADIN[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1442default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1452default:default8@Z20-1567hpx  No pins matched '%s'. 508* planAhead2L 8stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/ADDRARDADDR[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1462default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1472default:default8@Z20-1567hpx  No pins matched '%s'. 508* planAhead2G 3stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/CLKARDCLK2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1482default:default8@Z12-508hpx  No pins matched '%s'. 508* planAhead2H 4stat_regs_inst/i_ram_*/*/*.mem_reg_bram_0/DINADIN[*]2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1482default:default8@Z12-508hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1492default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1512default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1532default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1552default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1572default:default8@Z20-1567hpx  eUse of '%s' with '%s' is not supported by synthesis. The constraint will not be passed to synthesis. 1199* designutils2' set_multicycle_path2default:default2 -hold2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1592default:default8@Z20-1567hpx  Finished Parsing XDC File [%s] 178* designutils2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default8Z20-178hpx  One or more constraints failed evaluation while reading constraint file [%s] and the design contains unresolved black boxes. These constraints will be read post-synthesis (as long as their source constraint file is marked as used_in_implementation) and should be applied correctly then. You should review the constraints listed in the file [%s] and check the run log file to verify that these constraints were correctly applied.301*project2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2/ .Xil/ngFEC_top_propImpl.xdc2default:defaultZ1-498hpx  Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2/ .Xil/ngFEC_top_propImpl.xdc2default:defaultZ1-236hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2 write_xdc: 2default:default2 00:00:072default:default2 00:00:072default:default2 7974.6412default:default2 0.0002default:defaultZ17-268hp x   Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2V BD:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_single.tcl2default:default2/ .Xil/ngFEC_top_propImpl.xdc2default:defaultZ1-236hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  No cells matched '%s'. 180* planAhead2 get_cells -hier -filter {PRIMITIVE_SUBGROUP==LUTRAM || PRIMITIVE_SUBGROUP==dram || PRIMITIVE_SUBGROUP==uram || PRIMITIVE_SUBGROUP==BRAM}2default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z12-180hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2! Vivado 12-1802default:default2 1002default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Common 17-552default:default2 1002default:default2[ ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2 32default:default8@Z17-14hpx  Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2Y ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2/ .Xil/ngFEC_top_propImpl.xdc2default:defaultZ1-236hpx  Implementation specific constraints were found while reading constraint file [%s]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [%s]. 233*project2Y ED:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/tcl/xpm_memory_xdc.tcl2default:default2/ .Xil/ngFEC_top_propImpl.xdc2default:defaultZ1-236hpx l 2%s XPM XDC files have been applied to the design. 665*project2 32default:defaultZ1-1715hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2 write_xdc: 2default:default2 00:00:062default:default2 00:00:062default:default2 7974.6412default:default2 0.0002default:defaultZ17-268hp x  H &Completed Processing XDC Constraints 245*projectZ1-263hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.5722default:default2 7995.0742default:default2 2.7892default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2  A total of 1030 instances were transformed. BUFG => BUFGCE: 8 instances DSP48E2 => DSP48E2 (DSP_ALU, DSP_A_B_DATA, DSP_C_DATA, DSP_MULTIPLIER, DSP_M_DATA, DSP_OUTPUT, DSP_PREADD, DSP_PREADD_DATA): 1003 instances IOBUF => IOBUF (IBUFCTRL, INBUF, OBUFT): 18 instances MMCME3_BASE => MMCME3_ADV: 1 instance 2default:defaultZ1-111hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2 write_xdc: 2default:default2 00:00:082default:default2 00:00:082default:default2 8035.4572default:default2 0.0002default:defaultZ17-268hp x   I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common24 Constraint Validation Runtime : 2default:default2 00:00:092default:default2 00:00:092default:default2 8035.4572default:default2 40.3832default:defaultZ17-268hp x   Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2 eth/phy2default:default2* independent_clock_bufg2default:default2 8.0002default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2h Tg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx  Clock period '%s' specified during out-of-context synthesis of instance '%s' at clock pin '%s' is different from the actual clock period '%s', this can lead to different synthesis results. 203*timing2 20.0002default:default2g Sg_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip2default:default2 drpclk_in[0]2default:default2 8.3172default:defaultZ38-316hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Constraint Validation : Time (s): cpu = 00:08:38 ; elapsed = 00:07:46 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  V %s *synth2> *Start Loading Part and Timing Information 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Loading part: xcku115-flva2104-1-c 2default:defaulthp x  B Reading net delay rules and data4578*oasysZ8-6742hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Loading Part and Timing Information : Time (s): cpu = 00:08:38 ; elapsed = 00:07:46 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Z %s *synth2B .Start Applying 'set_property' XDC Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:09:37 ; elapsed = 00:08:46 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   3inferred FSM for state register '%s' in module '%s'802*oasys2 state_reg2default:default2! transactor_if2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 state_reg2default:default2! transactor_sm2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 sm_init_reg2default:default2( ttc_mgt_example_init2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ sm_reset_all_reg2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# sm_reset_tx_reg2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# sm_reset_rx_reg2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2' prbs_lock_state_reg2default:default2 prbs_chk2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ stateBitSlip_reg2default:default2* lpgbtfpga_framealigner2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 sm_init_reg2default:default2' mgt_ip_example_init2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2+ phase_aligner_state_reg2default:default2( tx_phase_aligner_fsm2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys29 %gen_drp_interface.drp_tx_pi_state_reg2default:default2 tx_pi_ctrl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2, clkSlipProcess.state_reg2default:default2# mgt_bitslipctrl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 state_reg2default:default2/ mgt_framealigner_pattsearch2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2! fe_status_reg2default:default2) buffer_ngccm_jtag_com2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2 c_state_reg2default:default2' i2c_master_bit_ctrl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2, statemachine.c_state_reg2default:default2( i2c_master_byte_ctrl2default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2% StateJTAGCtrl_reg2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2# StateJTAGIO_reg2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-802hpx  3inferred FSM for state register '%s' in module '%s'802*oasys2$ StateJTAGTDO_reg2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-802hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-8022default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2. "udp_DualPortRAM:/ram_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 82default:default2. "udp_DualPortRAM:/ram_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default22 "udp_DualPortRAM_rx:/ram1_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default22 "udp_DualPortRAM_rx:/ram1_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default22 "udp_DualPortRAM_rx:/ram2_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default22 "udp_DualPortRAM_rx:/ram2_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default22 "udp_DualPortRAM_rx:/ram3_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default22 "udp_DualPortRAM_rx:/ram3_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default22 "udp_DualPortRAM_rx:/ram4_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default22 "udp_DualPortRAM_rx:/ram4_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 42default:default21 "udp_DualPortRAM_tx:/ram_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 42default:default2 182default:default21 "udp_DualPortRAM_tx:/ram_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 92default:default21 "udp_DualPortRAM_tx:/ram_reg"2default:defaultZ8-5555hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 42default:default21 "udp_DualPortRAM_tx:/ram_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 12default:default21 "udp_DualPortRAM_tx:/ram_reg"2default:defaultZ8-7030hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ st_idle | 0000010 | 000 2default:defaulthp x   %s *synth2s _ st_first | 1000000 | 001 2default:defaulthp x   %s *synth2s _ st_hdr | 0100000 | 010 2default:defaulthp x   %s *synth2s _ st_prebody | 0010000 | 011 2default:defaulthp x   %s *synth2s _ st_body | 0001000 | 100 2default:defaulthp x   %s *synth2s _ st_done | 0000100 | 101 2default:defaulthp x   %s *synth2s _ st_gap | 0000001 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 state_reg2default:default2 one-hot2default:default2! transactor_if2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ st_idle | 100000 | 000 2default:defaulthp x   %s *synth2s _ st_hdr | 001000 | 001 2default:defaulthp x   %s *synth2s _ st_addr | 010000 | 010 2default:defaulthp x   %s *synth2s _ st_bus_cycle | 000010 | 011 2default:defaulthp x   %s *synth2s _ st_rmw_1 | 000100 | 100 2default:defaulthp x   %s *synth2s _ st_rmw_2 | 000001 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 state_reg2default:default2 one-hot2default:default2! transactor_sm2default:defaultZ8-3354hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2G 3"xpm_memory_base:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2G 3"xpm_memory_base:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2G 3"xpm_memory_base:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ ST_START | 00 | 00 2default:defaulthp x   %s *synth2s _ ST_TX_WAIT | 01 | 01 2default:defaulthp x   %s *synth2s _ ST_RX_WAIT | 10 | 10 2default:defaulthp x   %s *synth2s _ ST_MONITOR | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 sm_init_reg2default:default2 sequential2default:default2( ttc_mgt_example_init2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ ST_RESET_TX_BRANCH | 000 | 000 2default:defaulthp x   %s *synth2s _ ST_RESET_TX_PLL | 001 | 001 2default:defaulthp x   %s *synth2s _ ST_RESET_TX_DATAPATH | 010 | 010 2default:defaulthp x   %s *synth2s _ ST_RESET_TX_WAIT_LOCK | 011 | 011 2default:defaulthp x   %s *synth2s _ST_RESET_TX_WAIT_USERRDY | 100 | 100 2default:defaulthp x   %s *synth2u aST_RESET_TX_WAIT_RESETDONE | 101 | 101 2default:defaulthp x   %s *synth2s _ ST_RESET_TX_IDLE | 110 | 110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# sm_reset_tx_reg2default:default2 sequential2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_BRANCH | 000 | 000 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_PLL | 001 | 001 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_DATAPATH | 010 | 010 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_WAIT_LOCK | 011 | 011 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_WAIT_CDR | 100 | 100 2default:defaulthp x   %s *synth2s _ST_RESET_RX_WAIT_USERRDY | 101 | 101 2default:defaulthp x   %s *synth2u aST_RESET_RX_WAIT_RESETDONE | 110 | 110 2default:defaulthp x   %s *synth2s _ ST_RESET_RX_IDLE | 111 | 111 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# sm_reset_rx_reg2default:default2 sequential2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_BRANCH | 000 | 001 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_TX_PLL | 001 | 010 2default:defaulthp x   %s *synth2s _ST_RESET_ALL_TX_PLL_WAIT | 010 | 011 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_RX_DP | 011 | 100 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_RX_PLL | 100 | 101 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_RX_WAIT | 101 | 110 2default:defaulthp x   %s *synth2s _ iSTATE | 110 | 111 2default:defaulthp x   %s *synth2s _ ST_RESET_ALL_INIT | 111 | 000 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ sm_reset_all_reg2default:default2 sequential2default:default2/ ttc_mgt_example_gtwiz_reset2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ hunt | 10 | 00 2default:defaulthp x   %s *synth2s _ going_lock | 11 | 01 2default:defaulthp x   %s *synth2s _ lock | 01 | 10 2default:defaulthp x   %s *synth2s _ going_hunt | 00 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2' prbs_lock_state_reg2default:default2 sequential2default:default2 prbs_chk2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ e0_idle | 001 | 00 2default:defaulthp x   %s *synth2s _ e4_dobitslip | 010 | 01 2default:defaulthp x   %s *synth2s _ e5_waitncycles | 100 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ stateBitSlip_reg2default:default2 one-hot2default:default2* lpgbtfpga_framealigner2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ ST_START | 00 | 00 2default:defaulthp x   %s *synth2s _ ST_TX_WAIT | 01 | 01 2default:defaulthp x   %s *synth2s _ ST_RX_WAIT | 10 | 10 2default:defaulthp x   %s *synth2s _ ST_MONITOR | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 sm_init_reg2default:default2 sequential2default:default2' mgt_ip_example_init2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 0110 | 0000 2default:defaulthp x   %s *synth2s _ coarse_set_config | 1010 | 0001 2default:defaulthp x   %s *synth2s _ coarse_shift_pi | 0010 | 0010 2default:defaulthp x   %s *synth2s _ coarse_wait_pd | 0000 | 0011 2default:defaulthp x   %s *synth2s _ fine_set_config | 0001 | 0100 2default:defaulthp x   %s *synth2s _ fine_check_direction | 0011 | 0101 2default:defaulthp x   %s *synth2s _ fine_shift_pi | 1101 | 0110 2default:defaulthp x   %s *synth2s _ fine_wait_pd | 1011 | 0111 2default:defaulthp x   %s *synth2s _ fine_aligned | 1100 | 1000 2default:defaulthp x   %s *synth2s _ ui_set_offset | 1110 | 1001 2default:defaulthp x   %s *synth2s _ ui_check_shift_pi | 1001 | 1010 2default:defaulthp x   %s *synth2s _ ui_shift_pi | 1000 | 1011 2default:defaulthp x   %s *synth2s _ ui_reset_tx | 0111 | 1100 2default:defaulthp x   %s *synth2s _ aligned_clear_pd | 0100 | 1101 2default:defaulthp x   %s *synth2s _ aligned_wait_pd | 0101 | 1110 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2+ phase_aligner_state_reg2default:default2 sequential2default:default2( tx_phase_aligner_fsm2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 0100 | 0000 2default:defaulthp x   %s *synth2s _ phase_accu | 0101 | 0001 2default:defaulthp x   %s *synth2s _ pre_register_0phase_drp | 0011 | 0010 2default:defaulthp x   %s *synth2w cwait_pre_register_0phase_drp | 0000 | 0011 2default:defaulthp x   %s *synth2s _ register_1phase_drp | 0001 | 0100 2default:defaulthp x   %s *synth2s _wait_register_1phase_drp | 0010 | 0101 2default:defaulthp x   %s *synth2s _ register_0phase_drp | 1000 | 0110 2default:defaulthp x   %s *synth2s _wait_register_0phase_drp | 0110 | 0111 2default:defaulthp x   %s *synth2s _ done_drp | 0111 | 1000 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys29 %gen_drp_interface.drp_tx_pi_state_reg2default:default2 sequential2default:default2 tx_pi_ctrl2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ e0_idle | 001 | 00 2default:defaulthp x   %s *synth2s _ e4_dobitslip | 010 | 01 2default:defaulthp x   %s *synth2s _ e5_waitncycles | 100 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2, clkSlipProcess.state_reg2default:default2 one-hot2default:default2# mgt_bitslipctrl2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ unlocked | 00 | 00 2default:defaulthp x   %s *synth2s _ going_lock | 01 | 01 2default:defaulthp x   %s *synth2s _ locked | 10 | 10 2default:defaulthp x   %s *synth2s _ going_unlock | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 state_reg2default:default2 sequential2default:default2/ mgt_framealigner_pattsearch2default:defaultZ8-3354hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2W C"xpm_memory_base__parameterized0:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2W C"xpm_memory_base__parameterized0:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2W C"xpm_memory_base__parameterized0:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2W C"xpm_memory_base__parameterized0:/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle_s | 000 | 000 2default:defaulthp x   %s *synth2s _ write_s | 001 | 011 2default:defaulthp x   %s *synth2s _ wait_s | 010 | 100 2default:defaulthp x   %s *synth2s _ precmd_s | 011 | 101 2default:defaulthp x   %s *synth2s _ cmd_s | 100 | 110 2default:defaulthp x   %s *synth2s _ busy_s | 101 | 001 2default:defaulthp x   %s *synth2s _ response_s | 110 | 010 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2! fe_status_reg2default:default2 sequential2default:default2) buffer_ngccm_jtag_com2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle_b | 00000 | 00001 2default:defaulthp x   %s *synth2s _ idle_c | 00001 | 00010 2default:defaulthp x   %s *synth2s _ idle_d | 00010 | 00011 2default:defaulthp x   %s *synth2s _ idle_e | 00011 | 00100 2default:defaulthp x   %s *synth2s _ idle_f | 00100 | 00101 2default:defaulthp x   %s *synth2s _ start_a | 00101 | 00110 2default:defaulthp x   %s *synth2s _ start_b | 00110 | 00111 2default:defaulthp x   %s *synth2s _ start_c | 00111 | 01000 2default:defaulthp x   %s *synth2s _ start_d | 01000 | 01001 2default:defaulthp x   %s *synth2s _ start_e | 01001 | 01010 2default:defaulthp x   %s *synth2s _ stop_a | 01010 | 01011 2default:defaulthp x   %s *synth2s _ stop_b | 01011 | 01100 2default:defaulthp x   %s *synth2s _ stop_c | 01100 | 01101 2default:defaulthp x   %s *synth2s _ stop_d | 01101 | 01110 2default:defaulthp x   %s *synth2s _ stop_e | 01110 | 01111 2default:defaulthp x   %s *synth2s _ wr_a | 01111 | 10101 2default:defaulthp x   %s *synth2s _ wr_b | 10000 | 10110 2default:defaulthp x   %s *synth2s _ wr_c | 10001 | 10111 2default:defaulthp x   %s *synth2s _ wr_d | 10010 | 11000 2default:defaulthp x   %s *synth2s _ wr_e | 10011 | 11001 2default:defaulthp x   %s *synth2s _ rd_a | 10100 | 10000 2default:defaulthp x   %s *synth2s _ rd_b | 10101 | 10001 2default:defaulthp x   %s *synth2s _ rd_c | 10110 | 10010 2default:defaulthp x   %s *synth2s _ rd_d | 10111 | 10011 2default:defaulthp x   %s *synth2s _ rd_e | 11000 | 10100 2default:defaulthp x   %s *synth2s _ idle_a | 11001 | 00000 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2 c_state_reg2default:default2 sequential2default:default2' i2c_master_bit_ctrl2default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ st_idle | 000 | 000 2default:defaulthp x   %s *synth2s _ st_start | 001 | 001 2default:defaulthp x   %s *synth2s _ st_read | 010 | 010 2default:defaulthp x   %s *synth2s _ st_write | 011 | 011 2default:defaulthp x   %s *synth2s _ st_ack | 100 | 100 2default:defaulthp x   %s *synth2s _ st_stop | 101 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2, statemachine.c_state_reg2default:default2 sequential2default:default2( i2c_master_byte_ctrl2default:defaultZ8-3354hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2% "RAM:/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2% "RAM:/memory_reg"2default:defaultZ8-7030hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__12default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__22default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__32default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__42default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__52default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__62default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__72default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__82default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2) JTAGMaster__xdcDup__92default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__102default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__112default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__122default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__132default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__142default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__152default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__162default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__172default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__182default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__192default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__202default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__212default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__222default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__232default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__242default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__252default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__262default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__272default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2$ StateJTAGTDO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2% StateJTAGCtrl_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-3354hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   Gencoded FSM with state register '%s' using encoding '%s' in module '%s'3353*oasys2# StateJTAGIO_reg2default:default2 sequential2default:default2* JTAGMaster__xdcDup__282default:defaultZ8-3354hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33542default:default2 1002default:defaultZ17-14hpx  %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 00 | 00 2default:defaulthp x   %s *synth2s _ capture | 01 | 01 2default:defaulthp x   %s *synth2s _ next_bit | 10 | 10 2default:defaulthp x   %s *synth2s _ wait_tck | 11 | 11 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ jtag_idle | 00 | 00 2default:defaulthp x   %s *synth2s _ jtag_go | 01 | 01 2default:defaulthp x   %s *synth2s _ jtag_wait | 10 | 10 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2t ` State | New Encoding | Previous Encoding 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2s _ idle | 000 | 000 2default:defaulthp x   %s *synth2s _ next_word_ld | 001 | 110 2default:defaulthp x   %s *synth2s _ fall | 010 | 001 2default:defaulthp x   %s *synth2s _ prerise | 011 | 010 2default:defaulthp x   %s *synth2s _ rise | 100 | 011 2default:defaulthp x   %s *synth2s _ prefall | 101 | 100 2default:defaulthp x   %s *synth2s _ next_word_rd | 110 | 101 2default:defaulthp x   %s *synth2x d--------------------------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished RTL Optimization Phase 2 : Time (s): cpu = 00:12:07 ; elapsed = 00:11:22 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  `Found 'rw_addr_collision' attribute set to 'no' on SDP RAM %s. Setting write mode to NO_CHANGE 4174*oasys24 gen_wr_a.gen_word_narrow.mem_reg2default:defaultZ8-5775hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-57752default:default2 1002default:defaultZ17-14hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Detailed RTL Component Info : 2default:defaulthp x  : %s *synth2" +---Adders : 2default:defaulthp x  X %s *synth2@ , 2 Input 32 Bit Adders := 1365 2default:defaulthp x  X %s *synth2@ , 21 Input 32 Bit Adders := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 24 Bit Adders := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 16 Bit Adders := 149 2default:defaulthp x  X %s *synth2@ , 2 Input 15 Bit Adders := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 13 Bit Adders := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 12 Bit Adders := 1461 2default:defaulthp x  X %s *synth2@ , 2 Input 11 Bit Adders := 1412 2default:defaulthp x  X %s *synth2@ , 2 Input 10 Bit Adders := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 9 Bit Adders := 5 2default:defaulthp x  X %s *synth2@ , 2 Input 8 Bit Adders := 733 2default:defaulthp x  X %s *synth2@ , 2 Input 7 Bit Adders := 50 2default:defaulthp x  X %s *synth2@ , 3 Input 7 Bit Adders := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 6 Bit Adders := 150 2default:defaulthp x  X %s *synth2@ , 3 Input 6 Bit Adders := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 5 Bit Adders := 146 2default:defaulthp x  X %s *synth2@ , 2 Input 4 Bit Adders := 835 2default:defaulthp x  X %s *synth2@ , 2 Input 3 Bit Adders := 787 2default:defaulthp x  X %s *synth2@ , 2 Input 2 Bit Adders := 2 2default:defaulthp x  8 %s *synth2 +---XORs : 2default:defaulthp x  Z %s *synth2B . 2 Input 256 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 234 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 206 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 44 Bit XORs := 48 2default:defaulthp x  Z %s *synth2B . 3 Input 40 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 2 Input 40 Bit XORs := 48 2default:defaulthp x  Z %s *synth2B . 3 Input 39 Bit XORs := 8 2default:defaulthp x  Z %s *synth2B . 2 Input 20 Bit XORs := 48 2default:defaulthp x  Z %s *synth2B . 3 Input 19 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 5 Input 19 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 2 Input 16 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 4 Input 16 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 5 Input 9 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 31 Input 5 Bit XORs := 2 2default:defaulthp x  Z %s *synth2B . 2 Input 5 Bit XORs := 230 2default:defaulthp x  Z %s *synth2B . 5 Input 4 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 2 Input 4 Bit XORs := 144 2default:defaulthp x  Z %s *synth2B . 3 Input 2 Bit XORs := 1 2default:defaulthp x  Z %s *synth2B . 5 Input 2 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 2 Input 1 Bit XORs := 110073 2default:defaulthp x  Z %s *synth2B . 5 Input 1 Bit XORs := 22670 2default:defaulthp x  Z %s *synth2B . 3 Input 1 Bit XORs := 19080 2default:defaulthp x  Z %s *synth2B . 6 Input 1 Bit XORs := 4238 2default:defaulthp x  Z %s *synth2B . 4 Input 1 Bit XORs := 11058 2default:defaulthp x  Z %s *synth2B . 7 Input 1 Bit XORs := 1546 2default:defaulthp x  Z %s *synth2B . 10 Input 1 Bit XORs := 2 2default:defaulthp x  Z %s *synth2B . 12 Input 1 Bit XORs := 2 2default:defaulthp x  Z %s *synth2B . 9 Input 1 Bit XORs := 4 2default:defaulthp x  Z %s *synth2B . 8 Input 1 Bit XORs := 2 2default:defaulthp x  = %s *synth2% +---Registers : 2default:defaulthp x  Z %s *synth2B . 336 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 256 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 234 Bit Registers := 5 2default:defaulthp x  Z %s *synth2B . 206 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 128 Bit Registers := 8 2default:defaulthp x  Z %s *synth2B . 120 Bit Registers := 48 2default:defaulthp x  Z %s *synth2B . 112 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 100 Bit Registers := 48 2default:defaulthp x  Z %s *synth2B . 84 Bit Registers := 288 2default:defaulthp x  Z %s *synth2B . 60 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 58 Bit Registers := 9 2default:defaulthp x  Z %s *synth2B . 53 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 51 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 49 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 48 Bit Registers := 197 2default:defaulthp x  Z %s *synth2B . 45 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 42 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 38 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 36 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 34 Bit Registers := 1 2default:defaulthp x  Z %s *synth2B . 32 Bit Registers := 11578 2default:defaulthp x  Z %s *synth2B . 24 Bit Registers := 99 2default:defaulthp x  Z %s *synth2B . 23 Bit Registers := 2 2default:defaulthp x  Z %s *synth2B . 21 Bit Registers := 576 2default:defaulthp x  Z %s *synth2B . 20 Bit Registers := 145 2default:defaulthp x  Z %s *synth2B . 16 Bit Registers := 235 2default:defaulthp x  Z %s *synth2B . 15 Bit Registers := 48 2default:defaulthp x  Z %s *synth2B . 13 Bit Registers := 24 2default:defaulthp x  Z %s *synth2B . 12 Bit Registers := 3497 2default:defaulthp x  Z %s *synth2B . 11 Bit Registers := 1413 2default:defaulthp x  Z %s *synth2B . 10 Bit Registers := 3 2default:defaulthp x  Z %s *synth2B . 9 Bit Registers := 14 2default:defaulthp x  Z %s *synth2B . 8 Bit Registers := 4420 2default:defaulthp x  Z %s *synth2B . 7 Bit Registers := 1271 2default:defaulthp x  Z %s *synth2B . 6 Bit Registers := 157 2default:defaulthp x  Z %s *synth2B . 5 Bit Registers := 246 2default:defaulthp x  Z %s *synth2B . 4 Bit Registers := 4519 2default:defaulthp x  Z %s *synth2B . 3 Bit Registers := 2157 2default:defaulthp x  Z %s *synth2B . 2 Bit Registers := 748 2default:defaulthp x  Z %s *synth2B . 1 Bit Registers := 33333 2default:defaulthp x  8 %s *synth2 +---RAMs : 2default:defaulthp x  k %s *synth2S ? 256K Bit (8192 X 32 bit) RAMs := 1 2default:defaulthp x  k %s *synth2S ? 64K Bit (2048 X 32 bit) RAMs := 681 2default:defaulthp x  j %s *synth2R > 64K Bit (8192 X 8 bit) RAMs := 4 2default:defaulthp x  k %s *synth2S ? 32K Bit (1024 X 32 bit) RAMs := 48 2default:defaulthp x  j %s *synth2R > 32K Bit (4096 X 8 bit) RAMs := 1 2default:defaulthp x  j %s *synth2R > 16K Bit (512 X 32 bit) RAMs := 683 2default:defaulthp x  9 %s *synth2! +---Muxes : 2default:defaulthp x  X %s *synth2@ , 2 Input 336 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 256 Bit Muxes := 5 2default:defaulthp x  X %s *synth2@ , 2 Input 234 Bit Muxes := 8 2default:defaulthp x  X %s *synth2@ , 2 Input 230 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 206 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 145 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 128 Bit Muxes := 10 2default:defaulthp x  X %s *synth2@ , 4 Input 128 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 112 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 100 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 84 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 2 Input 60 Bit Muxes := 97 2default:defaulthp x  X %s *synth2@ , 2 Input 58 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 52 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 2 Input 48 Bit Muxes := 6 2default:defaulthp x  X %s *synth2@ , 3 Input 48 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 48 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 44 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 2 Input 42 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 40 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 39 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 33 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 32 Bit Muxes := 16560 2default:defaulthp x  X %s *synth2@ , 5 Input 32 Bit Muxes := 3846 2default:defaulthp x  X %s *synth2@ , 6 Input 32 Bit Muxes := 634 2default:defaulthp x  X %s *synth2@ , 3 Input 32 Bit Muxes := 98 2default:defaulthp x  X %s *synth2@ , 4 Input 32 Bit Muxes := 49 2default:defaulthp x  X %s *synth2@ , 7 Input 32 Bit Muxes := 240 2default:defaulthp x  X %s *synth2@ , 15 Input 32 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 52 Input 32 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 31 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 24 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 4 Input 24 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 23 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 2 Input 21 Bit Muxes := 384 2default:defaulthp x  X %s *synth2@ , 2 Input 20 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 19 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 4 Input 16 Bit Muxes := 50 2default:defaulthp x  X %s *synth2@ , 7 Input 16 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 16 Bit Muxes := 32 2default:defaulthp x  X %s *synth2@ , 14 Input 16 Bit Muxes := 3 2default:defaulthp x  X %s *synth2@ , 11 Input 16 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 8 Input 16 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 5 Input 16 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 18 Input 16 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 4 Input 15 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 2 Input 13 Bit Muxes := 36 2default:defaulthp x  X %s *synth2@ , 4 Input 13 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 8 Input 13 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 3 Input 13 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 13 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 12 Bit Muxes := 3161 2default:defaulthp x  X %s *synth2@ , 7 Input 12 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 2 Input 11 Bit Muxes := 4086 2default:defaulthp x  X %s *synth2@ , 7 Input 11 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 2 Input 10 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 3 Input 10 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 9 Bit Muxes := 16 2default:defaulthp x  X %s *synth2@ , 2 Input 8 Bit Muxes := 14572 2default:defaulthp x  X %s *synth2@ , 5 Input 8 Bit Muxes := 635 2default:defaulthp x  X %s *synth2@ , 8 Input 8 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 13 Input 8 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 8 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 17 Input 8 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 3 Input 8 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 4 Input 7 Bit Muxes := 50 2default:defaulthp x  X %s *synth2@ , 2 Input 7 Bit Muxes := 60 2default:defaulthp x  X %s *synth2@ , 7 Input 7 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 4 Input 6 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 6 Bit Muxes := 168 2default:defaulthp x  X %s *synth2@ , 3 Input 6 Bit Muxes := 98 2default:defaulthp x  X %s *synth2@ , 6 Input 6 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 5 Input 6 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 8 Input 6 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 6 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 5 Bit Muxes := 60 2default:defaulthp x  X %s *synth2@ , 4 Input 5 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 32 Input 5 Bit Muxes := 585 2default:defaulthp x  X %s *synth2@ , 5 Input 5 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 2 Input 4 Bit Muxes := 3270 2default:defaulthp x  X %s *synth2@ , 16 Input 4 Bit Muxes := 192 2default:defaulthp x  X %s *synth2@ , 5 Input 4 Bit Muxes := 49 2default:defaulthp x  X %s *synth2@ , 15 Input 4 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 9 Input 4 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 4 Input 4 Bit Muxes := 591 2default:defaulthp x  X %s *synth2@ , 6 Input 4 Bit Muxes := 585 2default:defaulthp x  X %s *synth2@ , 7 Input 4 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 3 Input 4 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 7 Input 3 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 3 Bit Muxes := 21678 2default:defaulthp x  X %s *synth2@ , 8 Input 3 Bit Muxes := 4 2default:defaulthp x  X %s *synth2@ , 4 Input 3 Bit Muxes := 683 2default:defaulthp x  X %s *synth2@ , 5 Input 3 Bit Muxes := 633 2default:defaulthp x  X %s *synth2@ , 12 Input 3 Bit Muxes := 96 2default:defaulthp x  X %s *synth2@ , 15 Input 3 Bit Muxes := 585 2default:defaulthp x  X %s *synth2@ , 4 Input 2 Bit Muxes := 828 2default:defaulthp x  X %s *synth2@ , 2 Input 2 Bit Muxes := 3414 2default:defaulthp x  X %s *synth2@ , 6 Input 2 Bit Muxes := 1 2default:defaulthp x  X %s *synth2@ , 5 Input 2 Bit Muxes := 633 2default:defaulthp x  X %s *synth2@ , 3 Input 2 Bit Muxes := 48 2default:defaulthp x  X %s *synth2@ , 17 Input 2 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 2 Input 1 Bit Muxes := 38139 2default:defaulthp x  X %s *synth2@ , 4 Input 1 Bit Muxes := 3671 2default:defaulthp x  X %s *synth2@ , 7 Input 1 Bit Muxes := 1221 2default:defaulthp x  X %s *synth2@ , 8 Input 1 Bit Muxes := 35 2default:defaulthp x  X %s *synth2@ , 6 Input 1 Bit Muxes := 3523 2default:defaulthp x  X %s *synth2@ , 5 Input 1 Bit Muxes := 3948 2default:defaulthp x  X %s *synth2@ , 3 Input 1 Bit Muxes := 8616 2default:defaulthp x  X %s *synth2@ , 15 Input 1 Bit Muxes := 144 2default:defaulthp x  X %s *synth2@ , 9 Input 1 Bit Muxes := 52 2default:defaulthp x  X %s *synth2@ , 26 Input 1 Bit Muxes := 4095 2default:defaulthp x  X %s *synth2@ , 11 Input 1 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 12 Input 1 Bit Muxes := 2 2default:defaulthp x  X %s *synth2@ , 13 Input 1 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 16 Input 1 Bit Muxes := 9 2default:defaulthp x  X %s *synth2@ , 17 Input 1 Bit Muxes := 1 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Finished RTL Component Statistics 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2p \Part Resources: DSPs: 5520 (col length:120) BRAMs: 4320 (col length: RAMB18 240 RAMB36 120) 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Part Resource Summary 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  W %s *synth2? +Start Cross Boundary and Area Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   +design %s has port %s driven by constant %s3447*oasys2% gbt_bankx12__GCB02default:default2% TX_PHALIGNED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% gbt_bankx12__GCB02default:default2& TX_PHCOMPUTED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized0__GCB02default:default2% TX_PHALIGNED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized0__GCB02default:default2& TX_PHCOMPUTED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized1__GCB02default:default2% TX_PHALIGNED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized1__GCB02default:default2& TX_PHCOMPUTED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized2__GCB02default:default2% TX_PHALIGNED_o[0]2default:default2 12default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys25 !gbt_bankx12__parameterized2__GCB02default:default2& TX_PHCOMPUTED_o[0]2default:default2 12default:defaultZ8-3917hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[0].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[0].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[1].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[1].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[2].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[2].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[3].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[3].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[4].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[4].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[5].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[5].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[6].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[6].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[7].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[7].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[8].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[8].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2A -g_clock_rate_din[9].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2C /g_clock_rate_din[9].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[10].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[10].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[11].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[11].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[12].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[12].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[13].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[13].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[14].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[14].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[15].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[15].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[16].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[16].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[17].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[17].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[18].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[18].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[19].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[19].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[20].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[20].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[21].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[21].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[22].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[22].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[23].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[23].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[24].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[24].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[25].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[25].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[26].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[26].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[27].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[27].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[28].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[28].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[29].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[29].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[30].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[30].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[31].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[31].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[32].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[32].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[33].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[33].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[34].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[34].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[35].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[35].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[36].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[36].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[37].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[37].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[38].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[38].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[39].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[39].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[40].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[40].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[41].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[41].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[42].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[42].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[43].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[43].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[44].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[44].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[45].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[45].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[46].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[46].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2B .g_clock_rate_din[47].i_rate_test_comm/rate_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys2D 0g_clock_rate_din[47].i_rate_test_comm/rate_i_reg2default:default2 242default:default2 162default:default2i SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/DSP_dividerX2.vhd2default:default2 632default:default8@Z8-3936hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10072default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10062default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10052default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10042default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10032default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10022default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10012default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default28 $xpm_cdc_single__parameterized2__10002default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9992default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9982default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9972default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9962default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9952default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9942default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9932default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9922default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9912default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9902default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9892default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9882default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9872default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9862default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9852default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9842default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9832default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9822default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9812default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9802default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9792default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9782default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9772default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9762default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9752default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9742default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9732default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9722default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9712default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9702default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9692default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9682default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9672default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9662default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9652default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9642default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9632default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9622default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9612default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9602default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9592default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9582default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9572default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9562default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9552default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9542default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9532default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9522default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9512default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9502default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9492default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9482default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9472default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9462default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9452default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9442default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9432default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9422default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9412default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9402default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9392default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9382default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9372default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9362default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9352default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9342default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9332default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9322default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9312default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9302default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9292default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9282default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9272default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9262default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9252default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9242default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9232default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9222default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9212default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9202default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9192default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9182default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9172default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9162default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9152default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9142default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9132default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default27 #xpm_cdc_single__parameterized2__9122default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default2( xpm_cdc_single__12972default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default2( xpm_cdc_single__12962default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default2( xpm_cdc_single__13002default:defaultZ8-7129hpx  9Port %s in module %s is either unconnected or has no load4866*oasys2 src_clk2default:default2( xpm_cdc_single__12992default:defaultZ8-7129hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  +Unused sequential element %s was removed. 4326*oasys24 gen_wr_b.gen_word_narrow.mem_reg2default:defaultZ8-6014hpx  +Unused sequential element %s was removed. 4326*oasys24 gen_wr_b.gen_word_narrow.mem_reg2default:defaultZ8-6014hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2e Q"stat_regs_inst/i_ram_rate/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2e Q"stat_regs_inst/i_ram_rate/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2( \stat_reg_reg[3][3] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[9].ngccm_status_reg_reg[9][9]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][10]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][11]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][12]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][13]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][14]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][15]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][15]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][25]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][25]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][26]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][26]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][27]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][27]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][28]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][28]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][29]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[9].ngccm_status_reg_reg[9][30]2default:default2 FDCE2default:default2: &SFP_GEN[9].ngccm_status_reg_reg[9][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[9].ngccm_status_reg_reg[9][31] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][25]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][26]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][27]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][28]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][29]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][30]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][31]2default:default2 FDCE2default:default29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[8].ngccm_status_reg_reg[8][9]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][10]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][11]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][12]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][13]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[8].ngccm_status_reg_reg[8][14]2default:default2 FDCE2default:default2: &SFP_GEN[8].ngccm_status_reg_reg[8][15]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[8].ngccm_status_reg_reg[8][15] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[1].ngccm_status_reg_reg[1][9]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][10]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][11]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][12]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][13]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][14]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][15]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][15]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][25]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][25]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][26]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][26]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][27]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][27]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][28]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][28]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][29]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[1].ngccm_status_reg_reg[1][30]2default:default2 FDCE2default:default2: &SFP_GEN[1].ngccm_status_reg_reg[1][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[1].ngccm_status_reg_reg[1][31] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][25]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][26]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][27]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][28]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][29]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][30]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][31]2default:default2 FDCE2default:default29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[0].ngccm_status_reg_reg[0][9]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][10]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][11]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][12]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][13]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[0].ngccm_status_reg_reg[0][14]2default:default2 FDCE2default:default2: &SFP_GEN[0].ngccm_status_reg_reg[0][15]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[0].ngccm_status_reg_reg[0][15] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2; 'SFP_GEN[11].ngccm_status_reg_reg[11][9]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][10]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][11]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][12]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][13]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][14]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][15]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][15]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][25]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][25]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][26]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][26]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][27]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][27]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][28]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][28]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][29]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[11].ngccm_status_reg_reg[11][30]2default:default2 FDCE2default:default2< (SFP_GEN[11].ngccm_status_reg_reg[11][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[11].ngccm_status_reg_reg[11][31] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][25]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][26]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][27]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][28]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][29]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][30]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][31]2default:default2 FDCE2default:default2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2; 'SFP_GEN[10].ngccm_status_reg_reg[10][9]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][10]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][11]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][12]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][13]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2< (SFP_GEN[10].ngccm_status_reg_reg[10][14]2default:default2 FDCE2default:default2< (SFP_GEN[10].ngccm_status_reg_reg[10][15]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[10].ngccm_status_reg_reg[10][15] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[3].ngccm_status_reg_reg[3][9]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][10]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][11]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][11]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][12]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][12]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][13]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][13]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][14]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][14]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][15]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][15]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][25]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][25]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][26]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][26]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][27]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][27]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][28]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][28]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][29]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][29]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][30]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[3].ngccm_status_reg_reg[3][30]2default:default2 FDCE2default:default2: &SFP_GEN[3].ngccm_status_reg_reg[3][31]2default:defaultZ8-3886hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[3].ngccm_status_reg_reg[3][31] 2default:defaultZ8-3333hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][25]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][26]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][27]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][28]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][29]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][30]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][31]2default:default2 FDCE2default:default29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys29 %SFP_GEN[2].ngccm_status_reg_reg[2][9]2default:default2 FDCE2default:default2: &SFP_GEN[2].ngccm_status_reg_reg[2][10]2default:defaultZ8-3886hpx  "merging instance '%s' (%s) to '%s'3436*oasys2: &SFP_GEN[2].ngccm_status_reg_reg[2][10]2default:default2 FDCE2default:default2: &SFP_GEN[2].ngccm_status_reg_reg[2][11]2default:defaultZ8-3886hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[2].ngccm_status_reg_reg[2][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[25].ngccm_status_reg_reg[25][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[24].ngccm_status_reg_reg[24][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[17].ngccm_status_reg_reg[17][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[16].ngccm_status_reg_reg[16][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[13].ngccm_status_reg_reg[13][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[12].ngccm_status_reg_reg[12][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[5].ngccm_status_reg_reg[5][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[4].ngccm_status_reg_reg[4][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[27].ngccm_status_reg_reg[27][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[26].ngccm_status_reg_reg[26][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[19].ngccm_status_reg_reg[19][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[18].ngccm_status_reg_reg[18][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[41].ngccm_status_reg_reg[41][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[40].ngccm_status_reg_reg[40][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[33].ngccm_status_reg_reg[33][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[32].ngccm_status_reg_reg[32][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[15].ngccm_status_reg_reg[15][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[14].ngccm_status_reg_reg[14][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[7].ngccm_status_reg_reg[7][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2< (\SFP_GEN[6].ngccm_status_reg_reg[6][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[29].ngccm_status_reg_reg[29][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[28].ngccm_status_reg_reg[28][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[21].ngccm_status_reg_reg[21][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[20].ngccm_status_reg_reg[20][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[43].ngccm_status_reg_reg[43][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[42].ngccm_status_reg_reg[42][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[35].ngccm_status_reg_reg[35][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[34].ngccm_status_reg_reg[34][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[31].ngccm_status_reg_reg[31][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[30].ngccm_status_reg_reg[30][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[23].ngccm_status_reg_reg[23][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[22].ngccm_status_reg_reg[22][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[45].ngccm_status_reg_reg[45][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[44].ngccm_status_reg_reg[44][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[37].ngccm_status_reg_reg[37][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[36].ngccm_status_reg_reg[36][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[47].ngccm_status_reg_reg[47][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[46].ngccm_status_reg_reg[46][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[39].ngccm_status_reg_reg[39][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2> *\SFP_GEN[38].ngccm_status_reg_reg[38][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \stat_reg_reg[153][9] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][10] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][11] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][12] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][13] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][14] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][25] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][26] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][27] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][28] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][29] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][30] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[153][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][25] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][26] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][27] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][28] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][29] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][30] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \stat_reg_reg[152][9] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][10] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][11] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][12] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][13] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][14] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[152][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \stat_reg_reg[145][9] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][10] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][11] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][12] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][13] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][14] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][15] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][25] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][26] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][27] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][28] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][29] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][30] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[145][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][25] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][26] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][27] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][28] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][29] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][30] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][31] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2* \stat_reg_reg[144][9] 2default:defaultZ8-3333hpx  6propagating constant %s across sequential element (%s)3333*oasys2 02default:default2+ \stat_reg_reg[144][10] 2default:defaultZ8-3333hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33332default:default2 1002default:defaultZ17-14hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33332default:default2 1002default:defaultZ17-14hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2# buf_to_load_int2default:default2 32default:default2 52default:defaultZ8-5544hpx  TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2# addr_to_set_int2default:defaultZ8-5587hpx  TROM size for "%s" is below threshold of ROM address width. It will be mapped to LUTs4039*oasys2# addr_to_set_int2default:defaultZ8-5587hpx  [ROM "%s" won't be mapped to Block RAM because address size (%s) smaller than threshold (%s)3996*oasys2 event_data2default:default2 32default:default2 52default:defaultZ8-5544hpx z 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 do_sum_int2default:defaultZ8-5546hpx { 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 clr_sum_int2default:defaultZ8-5546hpx } 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2! int_valid_int2default:defaultZ8-5546hpx y 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 cksum_int2default:defaultZ8-5546hpx z 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 do_sum_int2default:defaultZ8-5546hpx { 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 clr_sum_int2default:defaultZ8-5546hpx } 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2! int_valid_int2default:defaultZ8-5546hpx y 8ROM "%s" won't be mapped to RAM because it is too sparse3998*oasys2 cksum_int2default:defaultZ8-5546hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default27 #"\ipb/udp_if/internal_ram/ram_reg "2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 82default:default27 #"\ipb/udp_if/internal_ram/ram_reg "2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram1_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram1_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram2_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram2_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram3_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram3_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 22default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram4_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default28 $"\ipb/udp_if /ipbus_rx_ram/ram4_reg"2default:defaultZ8-5555hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 42default:default27 #"\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-5779hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 42default:default2 182default:default27 #"\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 92default:default27 #"\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-5555hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 42default:default27 #"\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 12default:default27 #"\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-38862default:default2 1002default:defaultZ17-14hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys26 "CrossClock_DV_cnt/DataAtoB_reg_reg2default:default2 842default:default2 772default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 1022default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys26 "CrossClock_DV_cnt/DataAtoB_reg_reg2default:default2 842default:default2 772default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 1022default:default8@Z8-3936hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[47].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[47].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[45].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[45].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[40].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[40].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[5].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[5].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[9].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[9].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[41].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[41].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[7].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[7].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys26 "CrossClock_DV_cnt/DataAtoB_reg_reg2default:default2 842default:default2 772default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 1022default:default8@Z8-3936hpx  RFound unconnected internal register '%s' and it is trimmed from '%s' to '%s' bits.3455*oasys26 "CrossClock_DV_cnt/DataAtoB_reg_reg2default:default2 842default:default2 772default:default2| fD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ngFEC/ngCCM/i2c/util/CrossClock_RX.v2default:default2 1022default:default8@Z8-3936hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39362default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[33].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[33].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[38].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[38].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[37].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[37].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[27].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[27].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[23].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[23].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[17].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[17].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2) ipb_miso[13][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2) ipb_miso[12][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2) ipb_miso[11][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2) ipb_miso[10][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[9][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[8][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[7][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[6][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[5][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[4][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[3][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[2][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[1][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2( ipb_miso[0][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[31]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[30]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[29]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[28]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[27]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[26]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[25]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[24]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[23]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[21]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[19]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngccm_bkp_regs[10]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2% ngccm_bkp_regs[9]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2% ngccm_bkp_regs[8]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngCCM_status_o[14]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngCCM_status_o[13]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngCCM_status_o[12]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngCCM_status_o[11]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2& ngCCM_status_o[10]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__152default:default2% ngCCM_status_o[9]2default:default2 02default:defaultZ8-3917hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2) ipb_miso[13][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2) ipb_miso[12][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2) ipb_miso[11][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2) ipb_miso[10][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[9][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[8][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[7][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[6][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[5][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[4][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[3][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[2][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[1][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2( ipb_miso[0][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[31]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[30]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[29]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[28]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[27]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[26]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[25]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[24]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[23]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[21]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[19]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngccm_bkp_regs[10]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2% ngccm_bkp_regs[9]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2% ngccm_bkp_regs[8]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngCCM_status_o[14]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngCCM_status_o[13]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngCCM_status_o[12]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngCCM_status_o[11]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2& ngCCM_status_o[10]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__142default:default2% ngCCM_status_o[9]2default:default2 02default:defaultZ8-3917hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[10].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[10].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[34].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[34].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[31].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[31].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2) ipb_miso[13][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2) ipb_miso[12][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2) ipb_miso[11][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2) ipb_miso[10][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[9][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[8][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[7][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[6][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[5][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[4][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[3][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[2][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[1][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2( ipb_miso[0][ipb_err]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[31]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[30]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[29]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[28]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[27]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[26]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[25]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[24]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[23]2default:default2 02default:defaultZ8-3917hpx  +design %s has port %s driven by constant %s3447*oasys2% ngCCM__xdcDup__292default:default2& ngccm_bkp_regs[21]2default:default2 02default:defaultZ8-3917hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39172default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[0].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[0].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[26].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[26].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[6].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[6].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[29].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[29].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39172default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39172default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[4].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[4].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[1].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[1].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[32].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[32].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[36].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[36].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39362default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[11].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[11].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[8].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[8].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-71292default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[20].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[20].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[18].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[18].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[39].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[39].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[21].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[21].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2O ;"LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[3].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[3].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[24].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[24].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[22].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[22].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[12].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[12].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2f R"\SFP_GEN[2].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2f R"\SFP_GEN[2].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[44].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[44].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-33332default:default2 1002default:defaultZ17-14hpx  9Default cascade height of %s will be used for BRAM '%s'. 4180*oasys2 12default:default2g S"\SFP_GEN[46].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-5779hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 322default:default2g S"\SFP_GEN[46].ngCCM_gbt /LocalJTAGBridge_inst/JTAGMaster_inst/JTAG_BRAM/memory_reg"2default:defaultZ8-7030hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:19:01 ; elapsed = 00:18:29 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 ! ROM: Preliminary Mapping Report 2default:defaulthp x  r %s *synth2Z F+------------------+---------------+---------------+----------------+ 2default:defaulthp x  s %s *synth2[ G|Module Name | RTL Object | Depth x Width | Implemented As | 2default:defaulthp x  r %s *synth2Z F+------------------+---------------+---------------+----------------+ 2default:defaulthp x  s %s *synth2[ G|rs_decoder_N31K29 | tmp | 32x5 | LUT | 2default:defaulthp x  s %s *synth2[ G|rs_decoder_N31K29 | tmp | 32x5 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G|JTAGMaster | stateTrans[0] | 32x4 | LUT | 2default:defaulthp x  s %s *synth2[ G+------------------+---------------+---------------+----------------+ 2default:defaulthp x  d %s *synth2L 8 Block RAM: Preliminary Mapping Report (see note below) 2default:defaulthp x   %s *synth2 +---------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | Cascade Heights | 2default:defaulthp x   %s *synth2 +---------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base__parameterized0: | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base: | gen_wr_b.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | R | 512 x 32(NO_CHANGE) | W | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |stat_regs_inst/i_ram_rate/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | R | 512 x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if | internal_ram/ram_reg | 4 K x 8(READ_FIRST) | W | | 4 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if /ipbus_rx_ram | ram1_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if /ipbus_rx_ram | ram2_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if /ipbus_rx_ram | ram3_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if /ipbus_rx_ram | ram4_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if /ipbus_tx_ram | ram_reg | 8 K x 32(NO_CHANGE) | W | | 8 K x 32(WRITE_FIRST) | | R | Port A and B | 1 | 7 | 4,2,1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 +---------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  R %s *synth2: &Start Applying XDC Timing Constraints 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   $%s for constraint at line %s of %s. 3321*oasys29 %set_multicycle_path : Empty from list2default:default2 1542default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1542default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys27 #set_multicycle_path : Empty to list2default:default2 1542default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1542default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys29 %set_multicycle_path : Empty from list2default:default2 1562default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1562default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys27 #set_multicycle_path : Empty to list2default:default2 1562default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1562default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys29 %set_multicycle_path : Empty from list2default:default2 1582default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1582default:default8@Z8-3321hpx  $%s for constraint at line %s of %s. 3321*oasys27 #set_multicycle_path : Empty to list2default:default2 1582default:default2c OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2e OD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/constrs_1/ngFEC_ipb.xdc2default:default2 1582default:default8@Z8-3321hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Applying XDC Timing Constraints : Time (s): cpu = 00:20:17 ; elapsed = 00:19:49 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  F %s *synth2. Start Timing Optimization 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 142default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  LImplemented Non-Cascaded %s Ram (cascade_height = %s) of width %s for RAM %s4766*oasys2 Block2default:default2 12default:default2 182default:default2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-7030hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-70302default:default2 1002default:defaultZ17-14hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  ?The signal %s was recognized as a true dual port RAM template. 3473*oasys2 "\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-3971hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-39712default:default2 1002default:defaultZ17-14hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  VThe block RAM %s may be mapped as a cascade chain, because it is not timing critical. 4009*oasys2 "\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg"2default:defaultZ8-5556hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-55562default:default2 1002default:defaultZ17-14hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default2< ("i_1/\ipb/udp_if /ipbus_rx_ram/ram1_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default2< ("i_1/\ipb/udp_if /ipbus_rx_ram/ram2_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default2< ("i_1/\ipb/udp_if /ipbus_rx_ram/ram3_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 82default:default2< ("i_1/\ipb/udp_if /ipbus_rx_ram/ram4_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 42default:default2 182default:default2; '"i_1/\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-5555hpx  HImplemented Block Ram Cascade chain of height %s and width %s for RAM %s4008*oasys2 22default:default2 92default:default2; '"i_1/\ipb/udp_if /ipbus_tx_ram/ram_reg"2default:defaultZ8-5555hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 ~Finished Timing Optimization : Time (s): cpu = 00:25:53 ; elapsed = 00:25:26 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 ! Block RAM: Final Mapping Report 2default:defaulthp x   %s *synth2 +----------------------------------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | Cascade Heights | 2default:defaulthp x   %s *synth2 +----------------------------------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\SFP_GEN[0].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngFEC_modulei_56 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\SFP_GEN[1].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngFEC_modulei_57 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\SFP_GEN[2].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngFEC_modulei_58 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\SFP_GEN[3].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngFEC_modulei_59 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\SFP_GEN[4].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngFEC_modulei_60 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\SFP_GEN[5].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngFEC_modulei_61 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\SFP_GEN[6].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngFEC_modulei_62 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\SFP_GEN[7].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngFEC_modulei_63 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\SFP_GEN[8].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngFEC_modulei_64 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\SFP_GEN[9].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngFEC_modulei_65 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\SFP_GEN[10].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngFEC_modulei_66 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\SFP_GEN[11].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngFEC_modulei_67 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\SFP_GEN[12].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngFEC_modulei_68 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\SFP_GEN[13].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[13].ngFEC_modulei_69 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\SFP_GEN[14].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[14].ngFEC_modulei_70 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\SFP_GEN[15].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[15].ngFEC_modulei_71 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\SFP_GEN[16].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[16].ngFEC_modulei_72 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\SFP_GEN[17].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngFEC_modulei_73 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\SFP_GEN[18].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngFEC_modulei_74 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\SFP_GEN[19].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[19].ngFEC_modulei_75 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\SFP_GEN[20].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngFEC_modulei_76 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\SFP_GEN[21].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngFEC_modulei_77 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\SFP_GEN[22].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngFEC_modulei_78 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\SFP_GEN[23].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngFEC_modulei_79 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\SFP_GEN[24].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngFEC_modulei_80 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\SFP_GEN[25].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[25].ngFEC_modulei_81 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\SFP_GEN[26].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngFEC_modulei_82 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\SFP_GEN[27].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngFEC_modulei_83 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\SFP_GEN[28].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[28].ngFEC_modulei_84 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\SFP_GEN[29].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngFEC_modulei_85 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\SFP_GEN[30].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[30].ngFEC_modulei_86 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\SFP_GEN[31].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngFEC_modulei_87 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\SFP_GEN[32].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngFEC_modulei_88 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\SFP_GEN[33].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngFEC_modulei_89 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\SFP_GEN[34].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngFEC_modulei_90 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\SFP_GEN[35].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[35].ngFEC_modulei_91 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\SFP_GEN[36].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngFEC_modulei_92 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\SFP_GEN[37].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngFEC_modulei_93 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\SFP_GEN[38].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngFEC_modulei_94 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\SFP_GEN[39].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngFEC_modulei_95 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\SFP_GEN[40].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngFEC_modulei_96 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\SFP_GEN[41].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngFEC_modulei_97 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\SFP_GEN[42].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[42].ngFEC_modulei_98 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\SFP_GEN[43].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[43].ngFEC_modulei_99 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\SFP_GEN[44].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngFEC_modulei_100 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\SFP_GEN[45].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngFEC_modulei_101 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\SFP_GEN[46].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngFEC_modulei_102 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[9].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[9].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[10].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[10].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[11].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[11].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[12].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[12].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\SFP_GEN[47].ngFEC_module/bram_array[13].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngFEC_modulei_103 /\bram_array[13].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[0].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[0].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[1].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[1].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[2].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[2].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[3].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[3].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[4].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[4].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[5].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[5].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[6].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[6].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[7].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[7].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |i_I2C_ifi_104/\i_I2C_if/I2C_array[8].RAM /BRAM_l/xpm_memory_base_inst | gen_wr_b.gen_word_narrow.mem_reg | 2 K x 32(NO_CHANGE) | W | R | 2 K x 32(NO_CHANGE) | W | R | Port A and B | 0 | 2 | 1,1 | 2default:defaulthp x   %s *synth2 |\I2C_array[8].RAM /BRAM_h/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | | 512 x 32(NO_CHANGE) | | R | Port A and B | 1 | 0 | | 2default:defaulthp x   %s *synth2 |xpm_memory_base: | gen_wr_b.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | R | 512 x 32(NO_CHANGE) | W | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |stat_regs_inst/i_ram_rate/xpm_memory_base_inst | gen_wr_a.gen_word_narrow.mem_reg | 512 x 32(NO_CHANGE) | W | R | 512 x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\ipb/udp_if | internal_ram/ram_reg | 4 K x 8(READ_FIRST) | W | | 4 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |i_1/\ipb/udp_if /ipbus_rx_ram | ram1_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |i_1/\ipb/udp_if /ipbus_rx_ram | ram2_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |i_1/\ipb/udp_if /ipbus_rx_ram | ram3_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |i_1/\ipb/udp_if /ipbus_rx_ram | ram4_reg | 8 K x 8(NO_CHANGE) | W | | 8 K x 8(WRITE_FIRST) | | R | Port A and B | 0 | 2 | 2 | 2default:defaulthp x   %s *synth2 |i_1/\ipb/udp_if /ipbus_tx_ram | ram_reg | 8 K x 32(NO_CHANGE) | W | | 8 K x 32(WRITE_FIRST) | | R | Port A and B | 1 | 7 | 4,2,1,1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[47].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[45].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[40].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[5].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[9].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[41].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[7].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[33].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[38].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[37].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[27].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[23].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[17].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[10].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[34].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[31].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[0].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[26].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[6].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[29].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[4].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[1].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[32].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[36].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[11].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[8].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[20].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[18].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[39].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[21].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[3].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[24].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[22].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[12].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[2].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[44].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 |\SFP_GEN[46].ngCCM_gbt /LocalJTAGBridge_inst | JTAGMaster_inst/JTAG_BRAM/memory_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 | 1 | 2default:defaulthp x   %s *synth2 +----------------------------------------------------------------------------------------------------------+--------------------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+-----------------+ 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  E %s *synth2- Start Technology Mapping 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[0].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[1].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[2].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[3].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[4].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[5].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[6].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[6].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[6].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[6].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[6].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[7].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[7].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[7].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[7].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[7].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[8].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[8].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[8].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[8].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[8].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[9].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[9].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[9].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[0].ngFEC_modulei_56/bram_array[9].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[0].ngFEC_modulei_56/bram_array[9].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[10].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[10].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[10].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[10].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 kSFP_GEN[0].ngFEC_modulei_56/bram_array[10].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[11].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[11].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[11].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[11].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 kSFP_GEN[0].ngFEC_modulei_56/bram_array[11].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[12].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[12].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[12].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[12].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 kSFP_GEN[0].ngFEC_modulei_56/bram_array[12].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[13].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[13].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[13].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 rSFP_GEN[0].ngFEC_modulei_56/bram_array[13].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 kSFP_GEN[0].ngFEC_modulei_56/bram_array[13].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[0].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[0].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[1].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[1].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[2].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[2].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[3].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[3].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[4].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[4].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_02default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2 qSFP_GEN[1].ngFEC_modulei_57/bram_array[5].RAM/BRAM_l/xpm_memory_base_inst/gen_wr_b.gen_word_narrow.mem_reg_bram_12default:default2 Block2default:defaultZ8-7052hpx  The timing for the instance %s (implemented as a %s RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. 4799*oasys2~ jSFP_GEN[1].ngFEC_modulei_57/bram_array[5].RAM/BRAM_h/xpm_memory_base_inst/gen_wr_a.gen_word_narrow.mem_reg2default:default2 Block2default:defaultZ8-7052hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-70522default:default2 1002default:defaultZ17-14hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 }Finished Technology Mapping : Time (s): cpu = 00:31:34 ; elapsed = 00:31:30 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ? %s *synth2' Start IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  Q %s *synth29 %Start Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  T %s *synth2< (Finished Flattening Before IO Insertion 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  H %s *synth20 Start Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Finished Final Netlist Cleanup 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/strobe_toggle_txusr_r2_inferred2default:default2 in02default:defaultZ8-3295hpx  'tying undriven pin %s:%s to constant 0 3295*oasys2 g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/done_toggle_sys_meta_inferred2default:default2 in02default:defaultZ8-3295hpx  Message '%s' appears more than %s times and has been disabled. User can change this message limit to see more message instances. 14*common2 Synth 8-32952default:default2 1002default:defaultZ17-14hpx ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 wFinished IO Insertion : Time (s): cpu = 00:50:29 ; elapsed = 00:50:56 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  O %s *synth27 #Start Renaming Generated Instances 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Instances : Time (s): cpu = 00:50:32 ; elapsed = 00:50:59 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  L %s *synth24 Start Rebuilding User Hierarchy 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Rebuilding User Hierarchy : Time (s): cpu = 00:55:15 ; elapsed = 00:55:43 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Renaming Generated Ports 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Ports : Time (s): cpu = 00:55:23 ; elapsed = 00:55:51 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  M %s *synth25 !Start Handling Custom Attributes 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Handling Custom Attributes : Time (s): cpu = 00:55:55 ; elapsed = 00:56:23 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  J %s *synth22 Start Renaming Generated Nets 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Renaming Generated Nets : Time (s): cpu = 00:56:01 ; elapsed = 00:56:29 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23  Static Shift Register Report: 2default:defaulthp x   %s *synth2 +------------+---------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | 2default:defaulthp x   %s *synth2 +------------+---------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 |ngFEC_top | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/reset_tx_pipe_reg[4] | 5 | 48 | NO | NO | YES | 48 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | stat_regs_inst/clk_phase_reg[6] | 3 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | stat_regs_inst/clk_phase_reg[3] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | fabric_clk_div2_q_reg[3] | 4 | 1 | NO | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_reg[41] | 22 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ip_pkt.pkt_mask_reg[27] | 6 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ip_pkt.pkt_mask_reg[17] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ping.pkt_mask_reg[35] | 23 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ipbus_pkt.pkt_mask_reg[37] | 23 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ipbus_mask.pkt_mask_reg[44] | 37 | 1 | YES | NO | YES | 0 | 2 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_mask_reg[41] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_mask_reg[37] | 12 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_mask_reg[15] | 10 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/resend/resend_pkt_id_block.pkt_mask_reg[44] | 31 | 1 | YES | NO | YES | 0 | 1 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ipbus_pkt.pkt_mask_reg[11] | 10 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_mask_reg[11] | 6 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_reg[19] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[295] | 5 | 7 | YES | NO | YES | 7 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[246] | 13 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[243] | 4 | 6 | YES | NO | YES | 6 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[241] | 6 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[239] | 14 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[229] | 9 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[228] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/data_block.data_buffer_reg[118] | 7 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/rarp_data_reg[7] | 6 | 5 | YES | NO | YES | 5 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/rarp_data_reg[4] | 7 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/rarp_data_reg[3] | 11 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/RARP_block/rarp_data_reg[1] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_mask_reg[29] | 10 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ip_pkt.pkt_data_reg[127] | 5 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/ip_pkt.pkt_data_reg[79] | 6 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_data_reg[111] | 10 | 4 | YES | NO | YES | 4 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_data_reg[90] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/arp.pkt_data_reg[72] | 5 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[126] | 13 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[123] | 4 | 2 | YES | NO | YES | 2 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[121] | 6 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[119] | 14 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[109] | 9 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[108] | 8 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[88] | 7 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 |ngFEC_top | ipb/udp_if/rx_packet_parser/rarp.pkt_data_reg[83] | 5 | 1 | YES | NO | YES | 1 | 0 | 2default:defaulthp x   %s *synth2 +------------+---------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ 2default:defaulthp x   %s *synth2 --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  K %s *synth23 Start Writing Synthesis Report 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  A %s *synth2)  Report BlackBoxes: 2default:defaulthp x  X %s *synth2@ ,+------+-----------------------+----------+ 2default:defaulthp x  X %s *synth2@ ,| |BlackBox name |Instances | 2default:defaulthp x  X %s *synth2@ ,+------+-----------------------+----------+ 2default:defaulthp x  X %s *synth2@ ,|1 |gig_ethernet_pcs_pma_0 | 1| 2default:defaulthp x  X %s *synth2@ ,|2 |mgt_ip | 48| 2default:defaulthp x  X %s *synth2@ ,|3 |ttc_mgt | 1| 2default:defaulthp x  X %s *synth2@ ,+------+-----------------------+----------+ 2default:defaulthp x  A %s *synth2)  Report Cell Usage: 2default:defaulthp x  Z %s *synth2B .+------+----------------------------+-------+ 2default:defaulthp x  Z %s *synth2B .| |Cell |Count | 2default:defaulthp x  Z %s *synth2B .+------+----------------------------+-------+ 2default:defaulthp x  Z %s *synth2B .|1 |gig_ethernet_pcs_pma_0_bbox | 1| 2default:defaulthp x  Z %s *synth2B .|2 |mgt_ip_bbox | 1| 2default:defaulthp x  Z %s *synth2B .|3 |mgt_ip_bbox_2_ | 47| 2default:defaulthp x  Z %s *synth2B .|50 |ttc_mgt_bbox | 1| 2default:defaulthp x  Z %s *synth2B .|51 |BUFG | 8| 2default:defaulthp x  Z %s *synth2B .|52 |BUFGCE_DIV | 1| 2default:defaulthp x  Z %s *synth2B .|53 |BUFG_GT | 3| 2default:defaulthp x  Z %s *synth2B .|54 |CARRY8 | 8602| 2default:defaulthp x  Z %s *synth2B .|55 |DSP_ALU | 1003| 2default:defaulthp x  Z %s *synth2B .|60 |DSP_A_B_DATA | 1003| 2default:defaulthp x  Z %s *synth2B .|62 |DSP_C_DATA | 1003| 2default:defaulthp x  Z %s *synth2B .|64 |DSP_MULTIPLIER | 1003| 2default:defaulthp x  Z %s *synth2B .|66 |DSP_M_DATA | 1003| 2default:defaulthp x  Z %s *synth2B .|68 |DSP_OUTPUT | 1003| 2default:defaulthp x  Z %s *synth2B .|71 |DSP_PREADD | 1003| 2default:defaulthp x  Z %s *synth2B .|72 |DSP_PREADD_DATA | 1003| 2default:defaulthp x  Z %s *synth2B .|76 |IBUFDS_GTE3 | 6| 2default:defaulthp x  Z %s *synth2B .|77 |LUT1 | 29020| 2default:defaulthp x  Z %s *synth2B .|78 |LUT2 | 58134| 2default:defaulthp x  Z %s *synth2B .|79 |LUT3 | 111694| 2default:defaulthp x  Z %s *synth2B .|80 |LUT4 | 104041| 2default:defaulthp x  Z %s *synth2B .|81 |LUT5 | 92248| 2default:defaulthp x  Z %s *synth2B .|82 |LUT6 | 149400| 2default:defaulthp x  Z %s *synth2B .|83 |MMCME3_ADV | 1| 2default:defaulthp x  Z %s *synth2B .|84 |MMCME3_BASE | 1| 2default:defaulthp x  Z %s *synth2B .|85 |MUXF7 | 1063| 2default:defaulthp x  Z %s *synth2B .|86 |MUXF8 | 3| 2default:defaulthp x  Z %s *synth2B .|87 |RAMB18E2 | 682| 2default:defaulthp x  Z %s *synth2B .|89 |RAMB36E2 | 1428| 2default:defaulthp x  Z %s *synth2B .|100 |SRL16E | 125| 2default:defaulthp x  Z %s *synth2B .|102 |SRLC32E | 6| 2default:defaulthp x  Z %s *synth2B .|103 |FDCE | 188851| 2default:defaulthp x  Z %s *synth2B .|104 |FDPE | 17656| 2default:defaulthp x  Z %s *synth2B .|105 |FDRE | 167146| 2default:defaulthp x  Z %s *synth2B .|106 |FDSE | 4953| 2default:defaulthp x  Z %s *synth2B .|107 |IBUF | 17| 2default:defaulthp x  Z %s *synth2B .|108 |IOBUF | 18| 2default:defaulthp x  Z %s *synth2B .|109 |OBUF | 10| 2default:defaulthp x  Z %s *synth2B .|110 |OBUFDS_GTE3 | 1| 2default:defaulthp x  Z %s *synth2B .+------+----------------------------+-------+ 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x   %s *synth2 Finished Writing Synthesis Report : Time (s): cpu = 00:56:03 ; elapsed = 00:56:31 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  ~ %s *synth2f R--------------------------------------------------------------------------------- 2default:defaulthp x  t %s *synth2\ HSynthesis finished with 0 errors, 0 critical warnings and 818 warnings. 2default:defaulthp x   %s *synth2 Synthesis Optimization Runtime : Time (s): cpu = 00:47:32 ; elapsed = 00:49:29 . Memory (MB): peak = 8035.457 ; gain = 807.938 2default:defaulthp x   %s *synth2 Synthesis Optimization Complete : Time (s): cpu = 00:56:03 ; elapsed = 00:56:33 . Memory (MB): peak = 8035.457 ; gain = 6826.992 2default:defaulthp x  B Translating synthesized netlist 350*projectZ1-571hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:282default:default2 00:00:282default:default2 8035.4572default:default2 0.0002default:defaultZ17-268hp x  i -Analyzing %s Unisim elements for replacement 17*netlist2 107162default:defaultZ29-17hpx k 2Unisim Transformation completed in %s CPU seconds 28*netlist2 202default:defaultZ29-28hpx K )Preparing netlist for logic optimization 349*projectZ1-570hpx u )Pushed %s inverter(s) to %s load pin(s). 98*opt2 02default:default2 02default:defaultZ31-138hpx  'Inserted BUFG_GT_SYNC %s for BUFG_GT %s303*opt2 BUFG_GT_SYNC2default:default2$ i_refclk125_bufg2default:defaultZ31-441hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:012default:default2 00:00:00.4252default:default2 8884.7152default:default2 0.0002default:defaultZ17-268hp x   !Unisim Transformation Summary: %s111*project2  A total of 1047 instances were transformed. BUFG => BUFGCE: 8 instances DSP48E2 => DSP48E2 (DSP_ALU, DSP_A_B_DATA, DSP_C_DATA, DSP_MULTIPLIER, DSP_M_DATA, DSP_OUTPUT, DSP_PREADD, DSP_PREADD_DATA): 1003 instances IBUF => IBUF (IBUFCTRL, INBUF): 17 instances IOBUF => IOBUF (IBUFCTRL, INBUF, OBUFT): 18 instances MMCME3_BASE => MMCME3_ADV: 1 instance 2default:defaultZ1-111hpx U Releasing license: %s 83*common2 Synthesis2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 16012default:default2 6052default:default2 02default:default2 02default:defaultZ4-41hpx ^ %s completed successfully 29* vivadotcl2 synth_design2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2" synth_design: 2default:default2 01:02:222default:default2 01:02:462default:default2 8884.7152default:default2 7856.4732default:defaultZ17-268hp x   The %s '%s' has been generated. 621*common2 checkpoint2default:default2a MD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/synth_1/ngFEC_top.dcp2default:defaultZ17-1381hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2& write_checkpoint: 2default:default2 00:03:182default:default2 00:02:322default:default2 8884.7152default:default2 0.0002default:defaultZ17-268hp x   %s4*runtcl2| hExecuting : report_utilization -file ngFEC_top_utilization_synth.rpt -pb ngFEC_top_utilization_synth.pb 2default:defaulthpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2( report_utilization: 2default:default2 00:00:062default:default2 00:00:062default:default2 8884.7152default:default2 0.0002default:defaultZ17-268hp x   Exiting %s at %s... 206*common2 Vivado2default:default2, Fri Mar 12 22:34:24 20212default:defaultZ17-206hpx  End Record