Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click here.


software_version_and_target_device
betaFALSE build_version3064766
date_generatedSat Mar 13 05:06:31 2021 os_platformWIN64
product_versionVivado v2020.2 (64-bit) project_id2e51a4e140b64c3ca29be3922ed730c1
project_iteration6 random_id7d231929b6a0526abd93eb4162ac00ab
registration_id174239099_207303394_210719486_840 route_designTRUE
target_devicexcku115 target_familykintexu
target_packageflva2104 target_speed-1
tool_flowVivado

user_environment
cpu_nameIntel(R) Xeon(R) CPU E5-1650 v4 @ 3.60GHz cpu_speed3592 MHz
os_nameWindows Server 2016 or Windows 10 os_releasemajor release (build 9200)
system_ram68.000 GB total_processors1

vivado_usage
gui_handlers
abstractsearchablepanel_show_search=11 addsrcwizard_specify_hdl_netlist_block_design=3 addsrcwizard_specify_or_create_constraint_files=1 addsrcwizard_specify_simulation_specific_hdl_files=2
archiveprojectdialog_archive_name=3 archiveprojectdialog_choose_temporary_location=5 basedialog_cancel=14 basedialog_close=7
basedialog_ok=45 basedialog_yes=1 cmdmsgdialog_ok=2 commandsinput_type_tcl_command_here=2
constraintschooserpanel_add_files=1 coretreetablepanel_core_tree_table=10 createsrcfiledialog_file_name=1 expreporttreepanel_exp_report_tree_table=15
filesetpanel_file_set_panel_tree=133 flownavigatortreepanel_flow_navigator_tree=68 fpgachooser_family=1 fpgachooser_fpga_table=1
graphicalview_zoom_fit=3 graphicalview_zoom_in=47 graphicalview_zoom_out=45 gtwizardultrascaleconfigchannels_gen_setting_tree_table=5
hcodeeditor_search_text_combo_box=55 hcodeview_find_text_in_file=2 languagetemplatesdialog_templates_tree=26 logmonitor_monitor=2
logpanel_find=1 mainmenumgr_checkpoint=1 mainmenumgr_file=22 mainmenumgr_ip=1
mainmenumgr_open_recent_project=12 mainmenumgr_project=11 mainmenumgr_simulation_waveform=1 mainmenumgr_text_editor=1
pacommandnames_add_sources=15 pacommandnames_archive_project=5 pacommandnames_auto_update_hier=3 pacommandnames_new_file=1
pacommandnames_new_project=1 pacommandnames_open_project=1 pacommandnames_set_as_top=3 pacommandnames_simulation_live_break=2
pacommandnames_simulation_live_restart=6 pacommandnames_simulation_live_run=25 pacommandnames_simulation_run_behavioral=21 pacommandnames_src_replace_file=7
pacommandnames_upgrade_ip=3 paviews_code=31 paviews_ip_catalog=1 paviews_par_report=5
paviews_project_summary=20 progressdialog_cancel=2 projectnamechooser_choose_project_location=1 projectnamechooser_project_name=1
projectsummaryutilizationpanel_project_summary_utilization_panel_tabbed=1 rdicommands_copy=130 rdicommands_cut=12 rdicommands_delete=2
rdicommands_line_comment=28 rdicommands_paste=229 rdicommands_redo=3 rdicommands_save_file=76
rdicommands_save_file_as=5 rdicommands_undo=16 rdicommands_waveform_save_configuration=9 rdicommands_waveform_save_configuration_as=1
rdiviews_waveform_viewer=77 reportipstatusinfodialog_report_ip_status=1 rungadget_show_error_and_critical_warning_messages=1 rungadget_show_warning_and_error_messages_in_messages=3
simpleoutputproductdialog_generate_output_products_immediately=7 simulationliverunforcomp_specify_time_and_units=6 simulationobjectspanel_simulation_objects_tree_table=33 simulationscopespanel_simulate_scope_table=16
srcchooserpanel_add_directories=4 srcchooserpanel_add_hdl_and_netlist_files_to_your_project=13 srcchooserpanel_create_file=1 srcmenu_ip_documentation=2
srcmenu_ip_hierarchy=3 statemonitor_reset_run=1 syntheticastatemonitor_cancel=2 taskbanner_close=18
touchpointsurveydialog_remind_me_later=1 waveformnametree_waveform_name_tree=47 waveformview_next_transition=555 waveformview_previous_transition=256
java_command_handlers
addsources=15 archiveproject=5 closeproject=1 coreview=1
customizecore=2 editdelete=5 editundo=2 fileexit=1
newfile=1 newproject=1 openproject=1 recustomizecore=1
reportipstatus=1 runbitgen=7 runimplementation=14 runsynthesis=6
settopnode=3 showview=7 simulationbreak=2 simulationrestart=6
simulationrun=21 simulationrunfortime=25 toolstemplates=7 updatesourcefiles=7
upgradeip=3 waveformsaveconfiguration=9 waveformsaveconfigurationas=1
other_data
guimode=51
project_data
constraintsetcount=1 core_container=false currentimplrun=impl_1 currentsynthesisrun=synth_1
default_library=xil_defaultlib designmode=RTL export_simulation_activehdl=6 export_simulation_ies=6
export_simulation_modelsim=6 export_simulation_questa=6 export_simulation_riviera=6 export_simulation_vcs=6
export_simulation_xsim=6 implstrategy=Vivado Implementation Defaults launch_simulation_activehdl=0 launch_simulation_ies=0
launch_simulation_modelsim=0 launch_simulation_questa=0 launch_simulation_riviera=0 launch_simulation_vcs=0
launch_simulation_xsim=2 simulator_language=Mixed srcsetcount=162 synthesisstrategy=Vivado Synthesis Defaults
target_language=VHDL target_simulator=XSim totalimplruns=4 totalsynthesisruns=4

unisim_transformation
post_unisim_transformation
bufg_gt=51 bufg_gt_sync=51 bufgce=8 bufgce_div=1
carry8=8798 dsp_a_b_data=1003 dsp_alu=1003 dsp_c_data=1003
dsp_m_data=1003 dsp_multiplier=1003 dsp_output=1003 dsp_preadd=1003
dsp_preadd_data=1003 fdce=189442 fdpe=19662 fdre=174455
fdse=5267 gnd=15042 gthe3_channel=50 gthe3_common=1
ibufctrl=35 ibufds_gte3=6 inbuf=35 lut1=29471
lut2=58996 lut3=112784 lut4=104908 lut5=93069
lut6=151540 mmcme3_adv=2 muxf7=1063 muxf8=3
obuf=10 obufds_gte3=1 obuft=18 ramb18e2=682
ramb36e2=1428 srl16e=135 srlc32e=6 vcc=14710
pre_unisim_transformation
bufg_gt=51 bufg_gt_sync=49 bufgce=8 bufgce_div=1
carry8=8798 dsp48e2=1003 fdce=189442 fdpe=19662
fdre=174455 fdse=5267 gnd=15042 gthe3_channel=50
gthe3_common=1 ibuf=17 ibufds_gte3=6 iobuf=18
lut1=29471 lut2=58996 lut3=112784 lut4=104908
lut5=93069 lut6=151540 mmcme3_adv=2 muxf7=1063
muxf8=3 obuf=10 obufds_gte3=1 ramb18e2=682
ramb36e2=1428 srl16e=135 srlc32e=6 vcc=14710

phys_opt_design_post_place
command_line_options
-aggressive_hold_fix=default::[not_specified] -bram_register_opt=default::[not_specified] -clock_opt=default::[not_specified] -critical_cell_opt=default::[not_specified]
-critical_pin_opt=default::[not_specified] -directive=default::[not_specified] -dsp_register_opt=default::[not_specified] -effort_level=default::[not_specified]
-fanout_opt=default::[not_specified] -hold_fix=default::[not_specified] -insert_negative_edge_ffs=default::[not_specified] -multi_clock_opt=default::[not_specified]
-placement_opt=default::[not_specified] -restruct_opt=default::[not_specified] -retime=default::[not_specified] -rewire=default::[not_specified]
-shift_register_opt=default::[not_specified] -uram_register_opt=default::[not_specified] -verbose=default::[not_specified] -vhfn=default::[not_specified]

power_opt_design
command_line_options_spo
-cell_types=default::all -clocks=default::[not_specified] -exclude_cells=default::[not_specified] -include_cells=default::[not_specified]
usage
bram_ports_augmented=9 bram_ports_newly_gated=740 bram_ports_total=4220 flow_state=default
slice_registers_augmented=0 slice_registers_newly_gated=0 slice_registers_total=388561 srls_augmented=0
srls_newly_gated=0 srls_total=139

ip_statistics
gig_ethernet_pcs_pma_0_gt_gtwizard_top/1
c_channel_enable=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 c_common_scaling_factor=1 c_cpll_vco_frequency=2500.0 c_enable_common_usrclk=0
c_force_commons=0 c_freerun_frequency=50.0 c_gt_rev=17 c_gt_type=0
c_include_cpll_cal=2 c_locate_common=0 c_locate_in_system_ibert_core=2 c_locate_reset_controller=0
c_locate_rx_buffer_bypass_controller=0 c_locate_rx_user_clocking=1 c_locate_tx_buffer_bypass_controller=0 c_locate_tx_user_clocking=1
c_locate_user_data_width_sizing=0 c_pcie_coreclk_freq=250 c_pcie_enable=0 c_reset_controller_instance_ctrl=0
c_reset_sequence_interval=0 c_rx_buffbypass_mode=0 c_rx_buffer_bypass_instance_ctrl=0 c_rx_buffer_mode=1
c_rx_cb_disp=00000000 c_rx_cb_k=00000000 c_rx_cb_len_seq=1 c_rx_cb_max_level=1
c_rx_cb_num_seq=0 c_rx_cb_val=00000000000000000000000000000000000000000000000000000000000000000000000000000000 c_rx_cc_disp=00000000 c_rx_cc_enable=1
c_rx_cc_k=00010001 c_rx_cc_len_seq=2 c_rx_cc_num_seq=2 c_rx_cc_periodicity=5000
c_rx_cc_val=00000000000000000000001011010100101111000000000000000000000000010100000010111100 c_rx_comma_m_enable=1 c_rx_comma_m_val=1010000011 c_rx_comma_p_enable=1
c_rx_comma_p_val=0101111100 c_rx_data_decoding=1 c_rx_enable=1 c_rx_int_data_width=20
c_rx_line_rate=1.25 c_rx_master_channel_idx=96 c_rx_outclk_bufg_gt_div=1 c_rx_outclk_frequency=62.5000000
c_rx_outclk_source=1 c_rx_pll_type=2 c_rx_recclk_output=0x000000000000000000000000000000000000000000000000 c_rx_refclk_frequency=125
c_rx_slide_mode=0 c_rx_user_clocking_contents=0 c_rx_user_clocking_instance_ctrl=0 c_rx_user_clocking_ratio_fsrc_fusrclk=1
c_rx_user_clocking_ratio_fusrclk_fusrclk2=1 c_rx_user_clocking_source=0 c_rx_user_data_width=16 c_rx_usrclk2_frequency=62.5000000
c_rx_usrclk_frequency=62.5000000 c_secondary_qpll_enable=0 c_secondary_qpll_refclk_frequency=257.8125 c_sim_cpll_cal_bypass=1
c_total_num_channels=1 c_total_num_commons=0 c_total_num_commons_example=0 c_tx_buffbypass_mode=0
c_tx_buffer_bypass_instance_ctrl=0 c_tx_buffer_mode=1 c_tx_data_encoding=1 c_tx_enable=1
c_tx_int_data_width=20 c_tx_line_rate=1.25 c_tx_master_channel_idx=96 c_tx_outclk_bufg_gt_div=2
c_tx_outclk_frequency=62.5000000 c_tx_outclk_source=4 c_tx_pll_type=2 c_tx_refclk_frequency=125
c_tx_user_clocking_contents=0 c_tx_user_clocking_instance_ctrl=0 c_tx_user_clocking_ratio_fsrc_fusrclk=1 c_tx_user_clocking_ratio_fusrclk_fusrclk2=1
c_tx_user_clocking_source=0 c_tx_user_data_width=16 c_tx_usrclk2_frequency=62.5000000 c_tx_usrclk_frequency=62.5000000
c_txprogdiv_freq_enable=1 c_txprogdiv_freq_source=2 c_txprogdiv_freq_val=125 c_user_gtpowergood_delay_en=0
core_container=NA iptotal=1 x_ipcorerevision=9 x_iplanguage=VHDL
x_iplibrary=ip x_ipname=gtwizard_ultrascale x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.7
gig_ethernet_pcs_pma_v16_2_1/1
c_1588=0 c_8_or_9_family=true c_architecture=kintexu c_clock_selection=0
c_component_name=gig_ethernet_pcs_pma_0 c_drpclkrate=50.0 c_dynamic_switching=false c_elaboration_transient_dir=[user-defined]
c_emac_if_temac=true c_enable_async_lvds=false c_enable_async_lvds_rx_only=false c_enable_async_sgmii=false
c_enable_tx_userclk_reset_port=false c_family=kintexu c_gt_dmonitorout_width=17 c_gt_drpaddr_width=9
c_gt_loc=X1Y0 c_gt_rxmonitorout_width=7 c_gt_txdiffctrl_width=4 c_gt_type=GTH
c_gtinex=false c_has_an=false c_has_axil=false c_has_ext_mdio=false
c_has_mdio=false c_instantiatebitslice0=false c_is_2_5g=false c_is_sgmii=false
c_num_of_lanes=1 c_refclk_src=clk0 c_refclkrate=125 c_rx_gmii_clk_src=TXOUTCLK
c_rxlane0_placement=DIFF_PAIR_0 c_rxlane1_placement=DIFF_PAIR_1 c_rxnibblebitslice0used=false c_sgmii_fabric_buffer=true
c_sgmii_phy_mode=false c_sub_core_name=gig_ethernet_pcs_pma_0_gt c_support_level=false c_transceiver_type=GTHE3
c_transceivercontrol=false c_tx_in_upper_nibble=1 c_txlane0_placement=DIFF_PAIR_0 c_txlane1_placement=DIFF_PAIR_1
c_use_lvds=false c_use_tbi=false c_use_transceiver=true c_xdevicefamily=xcku115
characterization=false core_container=false example_simulation=0 gt_rx_byte_width=1
iptotal=1 x_ipcorerevision=1 x_iplanguage=VHDL x_iplibrary=ip
x_ipname=gig_ethernet_pcs_pma x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED x_ipvendor=xilinx.com
x_ipversion=16.2
mgt_ip_gtwizard_top/1
c_channel_enable=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 c_common_scaling_factor=1 c_cpll_vco_frequency=2404.716 c_enable_common_usrclk=0
c_force_commons=0 c_freerun_frequency=50 c_gt_rev=17 c_gt_type=0
c_include_cpll_cal=2 c_locate_common=0 c_locate_in_system_ibert_core=2 c_locate_reset_controller=0
c_locate_rx_buffer_bypass_controller=0 c_locate_rx_user_clocking=0 c_locate_tx_buffer_bypass_controller=0 c_locate_tx_user_clocking=1
c_locate_user_data_width_sizing=0 c_pcie_coreclk_freq=250 c_pcie_enable=0 c_reset_controller_instance_ctrl=0
c_reset_sequence_interval=0 c_rx_buffbypass_mode=0 c_rx_buffer_bypass_instance_ctrl=0 c_rx_buffer_mode=1
c_rx_cb_disp=00000000 c_rx_cb_k=00000000 c_rx_cb_len_seq=1 c_rx_cb_max_level=1
c_rx_cb_num_seq=0 c_rx_cb_val=00000000000000000000000000000000000000000000000000000000000000000000000000000000 c_rx_cc_disp=00000000 c_rx_cc_enable=0
c_rx_cc_k=00000000 c_rx_cc_len_seq=1 c_rx_cc_num_seq=0 c_rx_cc_periodicity=5000
c_rx_cc_val=00000000000000000000000000000000000000000000000000000000000000000000000000000000 c_rx_comma_m_enable=0 c_rx_comma_m_val=1010000011 c_rx_comma_p_enable=0
c_rx_comma_p_val=0101111100 c_rx_data_decoding=0 c_rx_enable=1 c_rx_int_data_width=20
c_rx_line_rate=2.404716 c_rx_master_channel_idx=8 c_rx_outclk_bufg_gt_div=1 c_rx_outclk_frequency=120.2358000
c_rx_outclk_source=1 c_rx_pll_type=2 c_rx_recclk_output=0x000000000000000000000000000000000000000000000000 c_rx_refclk_frequency=320.6288
c_rx_slide_mode=1 c_rx_user_clocking_contents=0 c_rx_user_clocking_instance_ctrl=0 c_rx_user_clocking_ratio_fsrc_fusrclk=1
c_rx_user_clocking_ratio_fusrclk_fusrclk2=1 c_rx_user_clocking_source=0 c_rx_user_data_width=20 c_rx_usrclk2_frequency=120.2358000
c_rx_usrclk_frequency=120.2358000 c_secondary_qpll_enable=0 c_secondary_qpll_refclk_frequency=257.8125 c_sim_cpll_cal_bypass=1
c_total_num_channels=1 c_total_num_commons=0 c_total_num_commons_example=0 c_tx_buffbypass_mode=0
c_tx_buffer_bypass_instance_ctrl=0 c_tx_buffer_mode=1 c_tx_data_encoding=0 c_tx_enable=1
c_tx_int_data_width=20 c_tx_line_rate=2.404716 c_tx_master_channel_idx=8 c_tx_outclk_bufg_gt_div=1
c_tx_outclk_frequency=120.2358000 c_tx_outclk_source=1 c_tx_pll_type=2 c_tx_refclk_frequency=320.6288
c_tx_user_clocking_contents=0 c_tx_user_clocking_instance_ctrl=0 c_tx_user_clocking_ratio_fsrc_fusrclk=1 c_tx_user_clocking_ratio_fusrclk_fusrclk2=1
c_tx_user_clocking_source=0 c_tx_user_data_width=20 c_tx_usrclk2_frequency=120.2358000 c_tx_usrclk_frequency=120.2358000
c_txprogdiv_freq_enable=0 c_txprogdiv_freq_source=2 c_txprogdiv_freq_val=120.2358 c_user_gtpowergood_delay_en=0
core_container=NA iptotal=48 x_ipcorerevision=9 x_iplanguage=VHDL
x_iplibrary=ip x_ipname=gtwizard_ultrascale x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.7
ttc_mgt_gtwizard_top/1
c_channel_enable=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 c_common_scaling_factor=1 c_cpll_vco_frequency=2578.125 c_enable_common_usrclk=0
c_force_commons=0 c_freerun_frequency=125 c_gt_rev=17 c_gt_type=0
c_include_cpll_cal=2 c_locate_common=0 c_locate_in_system_ibert_core=2 c_locate_reset_controller=1
c_locate_rx_buffer_bypass_controller=0 c_locate_rx_user_clocking=1 c_locate_tx_buffer_bypass_controller=0 c_locate_tx_user_clocking=1
c_locate_user_data_width_sizing=0 c_pcie_coreclk_freq=250 c_pcie_enable=0 c_reset_controller_instance_ctrl=0
c_reset_sequence_interval=0 c_rx_buffbypass_mode=0 c_rx_buffer_bypass_instance_ctrl=0 c_rx_buffer_mode=0
c_rx_cb_disp=00000000 c_rx_cb_k=00000000 c_rx_cb_len_seq=1 c_rx_cb_max_level=1
c_rx_cb_num_seq=0 c_rx_cb_val=00000000000000000000000000000000000000000000000000000000000000000000000000000000 c_rx_cc_disp=00000000 c_rx_cc_enable=0
c_rx_cc_k=00000000 c_rx_cc_len_seq=1 c_rx_cc_num_seq=0 c_rx_cc_periodicity=5000
c_rx_cc_val=00000000000000000000000000000000000000000000000000000000000000000000000000000000 c_rx_comma_m_enable=0 c_rx_comma_m_val=1010000011 c_rx_comma_p_enable=0
c_rx_comma_p_val=0101111100 c_rx_data_decoding=0 c_rx_enable=1 c_rx_int_data_width=32
c_rx_line_rate=10.260224 c_rx_master_channel_idx=99 c_rx_outclk_bufg_gt_div=1 c_rx_outclk_frequency=320.6320000
c_rx_outclk_source=1 c_rx_pll_type=1 c_rx_recclk_output=0x000000000000000000000000000000000000000000000000 c_rx_refclk_frequency=320.632
c_rx_slide_mode=1 c_rx_user_clocking_contents=0 c_rx_user_clocking_instance_ctrl=0 c_rx_user_clocking_ratio_fsrc_fusrclk=1
c_rx_user_clocking_ratio_fusrclk_fusrclk2=1 c_rx_user_clocking_source=0 c_rx_user_data_width=32 c_rx_usrclk2_frequency=320.6320000
c_rx_usrclk_frequency=320.6320000 c_secondary_qpll_enable=0 c_secondary_qpll_refclk_frequency=257.8125 c_sim_cpll_cal_bypass=1
c_total_num_channels=1 c_total_num_commons=1 c_total_num_commons_example=0 c_tx_buffbypass_mode=0
c_tx_buffer_bypass_instance_ctrl=0 c_tx_buffer_mode=1 c_tx_data_encoding=0 c_tx_enable=1
c_tx_int_data_width=32 c_tx_line_rate=10.260224 c_tx_master_channel_idx=99 c_tx_outclk_bufg_gt_div=1
c_tx_outclk_frequency=320.6320000 c_tx_outclk_source=2 c_tx_pll_type=0 c_tx_refclk_frequency=320.632
c_tx_user_clocking_contents=0 c_tx_user_clocking_instance_ctrl=0 c_tx_user_clocking_ratio_fsrc_fusrclk=1 c_tx_user_clocking_ratio_fusrclk_fusrclk2=1
c_tx_user_clocking_source=0 c_tx_user_data_width=32 c_tx_usrclk2_frequency=320.6320000 c_tx_usrclk_frequency=320.6320000
c_txprogdiv_freq_enable=0 c_txprogdiv_freq_source=0 c_txprogdiv_freq_val=320.632 c_user_gtpowergood_delay_en=0
core_container=NA iptotal=1 x_ipcorerevision=9 x_iplanguage=VHDL
x_iplibrary=ip x_ipname=gtwizard_ultrascale x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.7
xpm_cdc_single/1
core_container=NA dest_sync_ff=4 init_sync_ff=0 iptotal=2160
sim_assert_chk=0 src_input_reg=0 version=0
xpm_memory_base/1
version=0 addr_width_a=9 addr_width_b=9 auto_sleep_time=0
byte_write_width_a=32 byte_write_width_b=32 cascade_height=0 clocking_mode=1
core_container=NA ecc_mode=0 iptotal=1364 max_num_char=0
memory_optimization=true memory_primitive=2 memory_size=16384 memory_type=2
message_control=0 num_char_loc=0 p_ecc_mode=no_ecc p_enable_byte_write_a=0
p_enable_byte_write_b=0 p_max_depth_data=512 p_memory_opt=yes p_memory_primitive=block
p_min_width_data=32 p_min_width_data_a=32 p_min_width_data_b=32 p_min_width_data_ecc=32
p_min_width_data_ldw=4 p_min_width_data_shft=32 p_num_cols_write_a=1 p_num_cols_write_b=1
p_num_rows_read_a=1 p_num_rows_read_b=1 p_num_rows_write_a=1 p_num_rows_write_b=1
p_sdp_write_mode=yes p_width_addr_lsb_read_a=0 p_width_addr_lsb_read_b=0 p_width_addr_lsb_write_a=0
p_width_addr_lsb_write_b=0 p_width_addr_read_a=9 p_width_addr_read_b=9 p_width_addr_write_a=9
p_width_addr_write_b=9 p_width_col_write_a=32 p_width_col_write_b=32 read_data_width_a=32
read_data_width_b=32 read_latency_a=1 read_latency_b=1 read_reset_value_a=00000000
read_reset_value_b=0 rst_mode_a=SYNC rst_mode_b=SYNC rsta_loop_iter=32
rstb_loop_iter=32 sim_assert_chk=0 use_embedded_constraint=0 use_mem_init=1
use_mem_init_mmi=0 version=0 wakeup_time=0 write_data_width_a=32
write_data_width_b=32 write_mode_a=2 write_mode_b=2 write_protect=1
xpm_memory_sdpram/1
write_protect=1 addr_width_a=9 addr_width_b=9 auto_sleep_time=0
byte_write_width_a=32 cascade_height=0 clocking_mode=common_clock core_container=NA
ecc_mode=no_ecc iptotal=681 memory_optimization=true memory_primitive=block
memory_size=16384 message_control=0 p_clocking_mode=0 p_ecc_mode=0
p_memory_optimization=1 p_memory_primitive=2 p_wakeup_time=0 p_write_mode_b=2
read_data_width_b=32 read_latency_b=1 read_reset_value_b=0 rst_mode_a=SYNC
rst_mode_b=SYNC sim_assert_chk=0 use_embedded_constraint=0 use_mem_init=1
use_mem_init_mmi=0 wakeup_time=disable_sleep write_data_width_a=32 write_mode_b=no_change
write_protect=1
xpm_memory_tdpram/1
write_protect=1 addr_width_a=9 addr_width_b=9 auto_sleep_time=0
byte_write_width_a=32 byte_write_width_b=32 cascade_height=0 clocking_mode=independent_clock
core_container=NA ecc_mode=no_ecc iptotal=683 memory_optimization=true
memory_primitive=block memory_size=16384 message_control=0 p_clocking_mode=1
p_ecc_mode=0 p_memory_optimization=1 p_memory_primitive=2 p_wakeup_time=0
p_write_mode_a=2 p_write_mode_b=2 read_data_width_a=32 read_data_width_b=32
read_latency_a=1 read_latency_b=1 read_reset_value_a=00000000 read_reset_value_b=0
rst_mode_a=SYNC rst_mode_b=SYNC sim_assert_chk=0 use_embedded_constraint=0
use_mem_init=1 use_mem_init_mmi=0 wakeup_time=disable_sleep write_data_width_a=32
write_data_width_b=32 write_mode_a=no_change write_mode_b=no_change write_protect=1

report_drc
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -internal=default::[not_specified] -internal_only=default::[not_specified] -max_msgs_per_check=default::[not_specified]
-messages=default::[not_specified] -name=default::[not_specified] -no_waivers=default::[not_specified] -return_string=default::[not_specified]
-ruledecks=default::[not_specified] -upgrade_cw=default::[not_specified] -waived=default::[not_specified]
results
aval-155=382 aval-156=382 cfgbvs-1=1 dpip-2=1523
dpop-3=249 dpreg-7=478 iobusslrc-1=6 reqp-1669=2
reqp-1671=139 reqp-1673=2 reqp-1675=139 reqp-1678=386
reqp-1680=130 reqp-1681=11 reqp-1934=681

report_methodology
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -messages=default::[not_specified] -name=default::[not_specified] -return_string=default::[not_specified]
-slack_lesser_than=default::[not_specified] -waived=default::[not_specified]
results
aval-324=1 clkc-27=1 clkc-29=2 clkc-55=2
dpir-2=4097 lutar-1=498 synth-11=221 synth-12=384
synth-13=16 synth-6=3 timing-10=1 timing-18=45
timing-2=6 timing-3=1 timing-4=6 timing-47=1
timing-6=3 timing-7=3 timing-9=1 ulmtcs-1=1
xdcb-1=2

report_power
command_line_options
-advisory=default::[not_specified] -append=default::[not_specified] -file=[specified] -format=default::text
-hier=default::power -hierarchical_depth=default::4 -l=default::[not_specified] -name=default::[not_specified]
-no_propagation=default::[not_specified] -return_string=default::[not_specified] -rpx=[specified] -verbose=default::[not_specified]
-vid=default::[not_specified] -xpe=default::[not_specified]
usage
airflow=250 (LFM) ambient_temp=25.0 (C) bi-dir_toggle=12.500000 bidir_output_enable=1.000000
board_layers=12to15 (12 to 15 Layers) board_selection=medium (10"x10") bram=2.228137 clocks=1.050997
confidence_level_clock_activity=High confidence_level_design_state=High confidence_level_device_models=High confidence_level_internal_activity=Medium
confidence_level_io_activity=Low confidence_level_overall=Low customer=TBD customer_class=TBD
devstatic=1.931765 die=xcku115-flva2104-1-c dsp=0.401940 dsp_output_toggle=12.500000
dynamic=19.959471 effective_thetaja=0.81 enable_probability=0.990000 family=kintexu
ff_toggle=12.500000 flow_state=routed gth=8.637493 heatsink=medium (Medium Profile)
i/o=0.052305 input_toggle=12.500000 junction_temp=42.7 (C) logic=3.527421
mgtavcc_dynamic_current=4.504337 mgtavcc_static_current=0.117159 mgtavcc_total_current=4.621496 mgtavcc_voltage=1.000000
mgtavtt_dynamic_current=2.860679 mgtavtt_static_current=0.135383 mgtavtt_total_current=2.996063 mgtavtt_voltage=1.200000
mgtvccaux_dynamic_current=0.013366 mgtvccaux_static_current=0.000186 mgtvccaux_total_current=0.013552 mgtvccaux_voltage=1.800000
mgtyavcc_dynamic_current=0.000000 mgtyavcc_static_current=0.000000 mgtyavcc_total_current=0.000000 mgtyavcc_voltage=1.000000
mgtyavtt_dynamic_current=0.000000 mgtyavtt_static_current=0.000000 mgtyavtt_total_current=0.000000 mgtyavtt_voltage=1.200000
mgtyvccaux_dynamic_current=0.000000 mgtyvccaux_static_current=0.000000 mgtyvccaux_total_current=0.000000 mgtyvccaux_voltage=1.800000
mmcm=0.127580 netlist_net_matched=NA off-chip_power=0.000000 on-chip_power=21.891237
output_enable=1.000000 output_load=5.000000 output_toggle=12.500000 package=flva2104
pct_clock_constrained=527.000000 pct_inputs_defined=0 platform=nt64 process=typical
ram_enable=50.000000 ram_write=50.000000 read_saif=False set/reset_probability=0.000000
signal_rate=False signals=3.933597 simulation_file=None speedgrade=-1
static_prob=False temp_grade=commercial thetajb=1.7 (C/W) thetasa=1.2 (C/W)
toggle_rate=False user_board_temp=25.0 (C) user_effective_thetaja=0.81 user_junc_temp=42.7 (C)
user_thetajb=1.7 (C/W) user_thetasa=1.2 (C/W) vccadc_dynamic_current=0.000000 vccadc_static_current=0.027600
vccadc_total_current=0.027600 vccadc_voltage=1.800000 vccaux_dynamic_current=0.070578 vccaux_io_dynamic_current=0.006949
vccaux_io_static_current=0.162504 vccaux_io_total_current=0.169453 vccaux_io_voltage=1.800000 vccaux_static_current=0.252406
vccaux_total_current=0.322984 vccaux_voltage=1.800000 vccbram_dynamic_current=0.080225 vccbram_static_current=0.103481
vccbram_total_current=0.183707 vccbram_voltage=0.950000 vccint_dynamic_current=12.360739 vccint_io_dynamic_current=0.003712
vccint_io_static_current=0.062426 vccint_io_total_current=0.066138 vccint_io_voltage=0.950000 vccint_static_current=0.733943
vccint_total_current=13.094682 vccint_voltage=0.950000 vcco10_dynamic_current=0.000000 vcco10_static_current=0.000000
vcco10_total_current=0.000000 vcco10_voltage=1.000000 vcco12_dynamic_current=0.000000 vcco12_static_current=0.000000
vcco12_total_current=0.000000 vcco12_voltage=1.200000 vcco135_dynamic_current=0.000000 vcco135_static_current=0.000000
vcco135_total_current=0.000000 vcco135_voltage=1.350000 vcco15_dynamic_current=0.000000 vcco15_static_current=0.000000
vcco15_total_current=0.000000 vcco15_voltage=1.500000 vcco18_dynamic_current=0.020071 vcco18_static_current=0.000085
vcco18_total_current=0.020156 vcco18_voltage=1.800000 vcco25_dynamic_current=0.000000 vcco25_static_current=0.000000
vcco25_total_current=0.000000 vcco25_voltage=2.500000 vcco33_dynamic_current=0.000043 vcco33_static_current=0.000085
vcco33_total_current=0.000129 vcco33_voltage=3.300000 version=2020.2

report_utilization
io_standard
analog=0 blvds_25=0 diff_hstl_i=0 diff_hstl_i_12=0
diff_hstl_i_18=1 diff_hstl_i_dci=0 diff_hstl_i_dci_12=0 diff_hstl_i_dci_18=0
diff_hstl_ii=0 diff_hstl_ii_18=0 diff_hsul_12=0 diff_hsul_12_dci=0
diff_pod10=0 diff_pod10_dci=0 diff_pod12=0 diff_pod12_dci=0
diff_sstl12=0 diff_sstl12_dci=0 diff_sstl135=0 diff_sstl135_dci=0
diff_sstl135_r=0 diff_sstl15=0 diff_sstl15_dci=0 diff_sstl15_r=0
diff_sstl18_i=0 diff_sstl18_i_dci=0 diff_sstl18_ii=0 hslvdci_15=0
hslvdci_18=0 hstl_i=0 hstl_i_12=0 hstl_i_18=0
hstl_i_dci=0 hstl_i_dci_12=0 hstl_i_dci_18=0 hstl_ii=0
hstl_ii_18=0 hsul_12=0 hsul_12_dci=0 lvcmos12=0
lvcmos15=0 lvcmos18=1 lvcmos25=0 lvcmos33=0
lvdci_15=0 lvdci_18=0 lvds=0 lvds_25=0
lvpecl=0 lvttl=1 mini_lvds_25=0 pod10=0
pod10_dci=0 pod12=0 pod12_dci=0 ppds_25=0
rsds_25=0 slvs_400_18=0 slvs_400_25=0 sstl12=0
sstl12_dci=0 sstl135=0 sstl135_dci=0 sstl135_r=0
sstl15=0 sstl15_dci=0 sstl15_r=0 sstl18_i=0
sstl18_i_dci=0 sstl18_ii=0 sub_lvds=0 tmds_33=0
primitives
bufg_gt_functional_category=Clock bufg_gt_sync_functional_category=Clock bufg_gt_sync_used=51 bufg_gt_used=51
bufgce_div_functional_category=Clock bufgce_div_used=1 bufgce_functional_category=Clock bufgce_used=12
carry8_functional_category=CLB carry8_used=8798 dsp48e2_functional_category=Arithmetic dsp48e2_used=1003
fdce_functional_category=Register fdce_used=189442 fdpe_functional_category=Register fdpe_used=19648
fdre_functional_category=Register fdre_used=174459 fdse_functional_category=Register fdse_used=5163
gthe3_channel_functional_category=Advanced gthe3_channel_used=50 gthe3_common_functional_category=Advanced gthe3_common_used=1
ibufctrl_functional_category=Others ibufctrl_used=35 ibufds_gte3_functional_category=Advanced ibufds_gte3_used=6
inbuf_functional_category=I/O inbuf_used=35 lut1_functional_category=CLB lut1_used=26977
lut2_functional_category=CLB lut2_used=58809 lut3_functional_category=CLB lut3_used=113133
lut4_functional_category=CLB lut4_used=104558 lut5_functional_category=CLB lut5_used=93008
lut6_functional_category=CLB lut6_used=151393 mmcme3_adv_functional_category=Clock mmcme3_adv_used=2
muxf7_functional_category=CLB muxf7_used=1063 muxf8_functional_category=CLB muxf8_used=3
obuf_functional_category=I/O obuf_used=10 obufds_gte3_functional_category=Advanced obufds_gte3_used=1
obuft_functional_category=I/O obuft_used=18 ramb18e2_functional_category=BLOCKRAM ramb18e2_used=682
ramb36e2_functional_category=BLOCKRAM ramb36e2_used=1428 srl16e_functional_category=CLB srl16e_used=134
srlc32e_functional_category=CLB srlc32e_used=5

synthesis
command_line_options
-assert=default::[not_specified] -bufg=default::12 -cascade_dsp=default::auto -constrset=default::[not_specified]
-control_set_opt_threshold=default::auto -debug_log=default::[not_specified] -directive=default::default -fanout_limit=default::10000
-flatten_hierarchy=default::rebuilt -fsm_extraction=default::auto -gated_clock_conversion=default::off -generic=default::[not_specified]
-include_dirs=default::[not_specified] -keep_equivalent_registers=default::[not_specified] -lint=default::[not_specified] -max_bram=default::-1
-max_bram_cascade_height=default::-1 -max_dsp=default::-1 -max_uram=default::-1 -max_uram_cascade_height=default::-1
-mode=default::default -name=default::[not_specified] -no_lc=default::[not_specified] -no_srlextract=default::[not_specified]
-no_timing_driven=default::[not_specified] -os=default::[not_specified] -part=xcku115-flva2104-1-c -resource_sharing=default::auto
-retiming=default::[not_specified] -rtl=default::[not_specified] -rtl_skip_constraints=default::[not_specified] -rtl_skip_ip=default::[not_specified]
-seu_protect=default::none -sfcu=default::[not_specified] -shreg_min_size=default::3 -top=ngFEC_top
-verilog_define=default::[not_specified]
usage
elapsed=00:56:41s hls_ip=0 memory_gain=7007.215MB memory_peak=8035.457MB

xsim
command_line_options
-sim_mode=default::behavioral -sim_type=default::