Q Command: %s 53* vivadotcl2 place_design2default:defaultZ4-113hpx  @Attempting to get a license for feature '%s' and/or device '%s' 308*common2" Implementation2default:default2 xcku1152default:defaultZ17-347hpx  0Got license for feature '%s' and/or device '%s' 310*common2" Implementation2default:default2 xcku1152default:defaultZ17-349hpx  The version limit for your license is '%s' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. 719*common2 2021.012default:defaultZ17-1540hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx V DRC finished with %s 79* vivadotcl2 0 Errors2default:defaultZ4-198hpx e BPlease refer to the DRC report (report_drc) for more information. 80* vivadotclZ4-199hpx p ,Running DRC as a precondition to command %s 22* vivadotcl2 place_design2default:defaultZ4-22hpx P Running DRC with %s threads 24*drc2 22default:defaultZ23-27hpx V DRC finished with %s 79* vivadotcl2 0 Errors2default:defaultZ4-198hpx e BPlease refer to the DRC report (report_drc) for more information. 80* vivadotclZ4-199hpx U  Starting %s Task 103* constraints2 Placer2default:defaultZ18-103hpx } BMultithreading enabled for place_design using a maximum of %s CPUs12* placeflow2 22default:defaultZ30-611hpx v Phase %s%s 101* constraints2 1 2default:default2) Placer Initialization2default:defaultZ18-101hpx  Phase %s%s 101* constraints2 1.1 2default:default29 %Placer Initialization Netlist Sorting2default:defaultZ18-101hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.4402default:default2 13824.2582default:default2 0.0002default:defaultZ17-268hp x  [ FPhase 1.1 Placer Initialization Netlist Sorting | Checksum: 12083804d *commonhpx   %s * constraints2t `Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.728 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.4212default:default2 13824.2582default:default2 0.0002default:defaultZ17-268hp x   Phase %s%s 101* constraints2 1.2 2default:default2F 2IO Placement/ Clock Placement/ Build Placer Device2default:defaultZ18-101hpx h SPhase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 15206d954 *commonhpx   %s * constraints2p \Time (s): cpu = 00:02:03 ; elapsed = 00:01:31 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx } Phase %s%s 101* constraints2 1.3 2default:default2. Build Placer Netlist Model2default:defaultZ18-101hpx P ;Phase 1.3 Build Placer Netlist Model | Checksum: 1d25aec82 *commonhpx   %s * constraints2p \Time (s): cpu = 00:09:50 ; elapsed = 00:06:45 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx z Phase %s%s 101* constraints2 1.4 2default:default2+ Constrain Clocks/Macros2default:defaultZ18-101hpx M 8Phase 1.4 Constrain Clocks/Macros | Checksum: 1d25aec82 *commonhpx   %s * constraints2p \Time (s): cpu = 00:09:53 ; elapsed = 00:06:48 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx I 4Phase 1 Placer Initialization | Checksum: 1d25aec82 *commonhpx   %s * constraints2p \Time (s): cpu = 00:09:59 ; elapsed = 00:06:55 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx q Phase %s%s 101* constraints2 2 2default:default2$ Global Placement2default:defaultZ18-101hpx p Phase %s%s 101* constraints2 2.1 2default:default2! Floorplanning2default:defaultZ18-101hpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx C .Phase 2.1 Floorplanning | Checksum: 2415a42a4 *commonhpx   %s * constraints2p \Time (s): cpu = 00:12:39 ; elapsed = 00:08:39 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx  Phase %s%s 101* constraints2 2.2 2default:default25 !Update Timing before SLR Path Opt2default:defaultZ18-101hpx W BPhase 2.2 Update Timing before SLR Path Opt | Checksum: 202454c00 *commonhpx   %s * constraints2p \Time (s): cpu = 00:14:08 ; elapsed = 00:09:34 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx x Phase %s%s 101* constraints2 2.3 2default:default2) Global Placement Core2default:defaultZ18-101hpx  %s* constraints2 lSLR(matching) [0-1] 14 30 15 69 473 1440 903 124 55 20 24 13 Total: 3180 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 17 15 20 116 899 965 838 223 3 28 37 19 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 20 16 19 34 910 1058 949 92 13 29 27 13 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 11 13 22 18 815 1195 968 63 14 22 26 13 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 18 14 15 16 753 1271 981 30 15 22 33 12 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 14 12 12 23 704 1313 993 31 17 21 22 18 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 14 11 11 20 687 1323 1007 28 20 21 18 20 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 13 11 12 20 656 1352 1011 26 20 21 18 20 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 13 12 11 19 577 1401 1042 26 20 21 18 20 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 13 12 11 19 546 1417 1057 26 20 21 18 20 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 12 12 13 20 511 1440 1066 29 16 26 12 23 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 16 13 10 15 524 1418 1080 23 24 23 17 17 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 15 16 17 19 582 1324 1100 27 15 27 29 9 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 18 12 11 21 813 1086 1100 40 19 24 17 19 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 19 17 14 27 912 963 981 166 15 23 22 21 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 17 21 26 214 767 899 847 292 24 26 24 23 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 27 17 168 159 698 855 786 353 35 10 35 37 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 25 26 73 151 653 813 794 389 75 113 36 32 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 42 52 97 160 576 764 704 528 120 62 18 57 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 48 72 102 164 492 771 655 565 168 59 34 50 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 46 71 111 163 404 811 568 679 166 68 34 59 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 50 77 118 160 326 806 621 635 205 70 46 66 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 48 85 115 156 326 793 662 609 187 83 50 66 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 49 80 110 160 347 798 655 578 203 76 61 63 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 51 85 97 175 404 736 668 593 184 70 52 65 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 56 82 105 173 398 717 687 591 180 73 53 65 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 58 81 112 154 403 723 696 566 194 75 54 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 59 81 110 165 398 726 689 570 197 84 33 68 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 72 71 117 166 407 716 676 561 192 78 60 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 75 74 104 161 419 711 704 557 185 80 42 68 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 78 72 93 177 425 702 680 575 192 72 50 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 79 70 99 171 439 680 689 576 187 82 37 71 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 77 73 97 187 424 686 680 574 185 80 54 63 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 78 72 101 179 435 679 679 569 190 82 46 70 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 81 72 98 183 417 703 666 582 189 74 49 66 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 83 65 105 183 498 592 711 553 199 76 50 65 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 80 67 103 177 504 575 721 560 195 82 51 65 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 77 75 107 178 499 592 705 559 208 76 32 72 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 78 71 99 185 508 649 658 547 201 83 31 70 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 83 63 102 192 508 649 646 554 191 80 48 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 88 62 100 195 513 633 649 557 193 78 48 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 91 57 112 184 521 614 659 554 192 86 47 63 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 90 60 108 181 510 626 665 552 193 86 45 64 Total: 3180 2default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 92 60 111 176 520 610 686 539 194 92 29 71 Total: 3180 2default:defaulthpx  Phase %s%s 101* constraints2 2.3.1 2default:default20 Physical Synthesis In Placer2default:defaultZ18-101hpx  FFound %s LUTNM shape to break, %s LUT instances to create LUTNM shape 553*physynth2 22default:default2 143392default:defaultZ32-1035hpx  YBreak lutnm for timing: one critical %s, two critical %s, total %s, new lutff created %s 561*physynth2 22default:default2 02default:default2 22default:default2 12default:defaultZ32-1044hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 65062default:default2! nets or cells2default:default2 22default:default2 cells2default:default2 65042default:default2 cells2default:default2 02default:default2 cell2default:defaultZ32-775hpx  =Pass %s. Identified %s candidate %s for fanout optimization. 76*physynth2 12default:default2 62default:default2 nets2default:defaultZ32-76hpx  'Processed net %s. Replicated %s times. 81*physynth2( TX_CLKENTX_CLKEN2default:default2 622default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2V ipb/trans/sm/addr_reg[17]_0[12]ipb/trans/sm/addr_reg[17]_0[12]2default:default2 82default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2V ipb/trans/sm/addr_reg[17]_0[13]ipb/trans/sm/addr_reg[17]_0[13]2default:default2 142default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2d &ipb/trans/sm/ipb_mosi[0][ipb_addr][18]&ipb/trans/sm/ipb_mosi[0][ipb_addr][18]2default:default2 122default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2d &ipb/trans/sm/ipb_mosi[0][ipb_addr][19]&ipb/trans/sm/ipb_mosi[0][ipb_addr][19]2default:default2 122default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2d &ipb/trans/sm/ipb_mosi[0][ipb_addr][26]&ipb/trans/sm/ipb_mosi[0][ipb_addr][26]2default:default2 122default:default8Z32-81hpx  $Optimized %s %s. Created %s new %s. 216*physynth2 62default:default2 nets2default:default2 1202default:default2 instances2default:defaultZ32-232hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 62default:default2! nets or cells2default:default2 1202default:default2 cells2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:032default:default2 00:00:032default:default2 13824.2582default:default2 0.0002default:defaultZ17-268hp x   =Pass %s. Identified %s candidate %s for fanout optimization. 76*physynth2 12default:default2 42default:default2 nets2default:defaultZ32-76hpx  'Processed net %s. Replicated %s times. 81*physynth2t .g_clock_rate_din[14].i_rate_ngccm_status0/E[0].g_clock_rate_din[14].i_rate_ngccm_status0/E[0]2default:default2 52default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2t .g_clock_rate_din[15].i_rate_ngccm_status0/E[0].g_clock_rate_din[15].i_rate_ngccm_status0/E[0]2default:default2 72default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2t .g_clock_rate_din[10].i_rate_ngccm_status0/E[0].g_clock_rate_din[10].i_rate_ngccm_status0/E[0]2default:default2 92default:default8Z32-81hpx  'Processed net %s. Replicated %s times. 81*physynth2< stat_regs_inst/weastat_regs_inst/wea2default:default2 92default:default8Z32-81hpx  $Optimized %s %s. Created %s new %s. 216*physynth2 42default:default2 nets2default:default2 302default:default2 instances2default:defaultZ32-232hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 42default:default2! nets or cells2default:default2 302default:default2 cells2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:012default:default2 00:00:012default:default2 13824.2582default:default2 0.0002default:defaultZ17-268hp x  j FNo candidate cells for DSP register optimization found in the design. 274*physynthZ32-456hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 22default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx i DNo candidate cells found for Shift Register to Pipeline optimization564*physynthZ32-1123hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx h DNo candidate cells for SRL register optimization found in the design349*physynthZ32-677hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx i ENo candidate cells for BRAM register optimization found in the design297*physynthZ32-526hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx j FNo candidate cells for URAM register optimization found in the design 437*physynthZ32-846hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 22default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx o KNo candidate nets found for dynamic/static region interface net replication521*physynthZ32-949hpx  aEnd %s Pass. Optimized %s %s. Created %s new %s, deleted %s existing %s and moved %s existing %s 415*physynth2 12default:default2 02default:default2 net or cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:default2 02default:default2 cell2default:defaultZ32-775hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2. Netlist sorting complete. 2default:default2 00:00:002default:default2 00:00:00.4162default:default2 13824.2582default:default2 0.0002default:defaultZ17-268hp x  B - Summary of Physical Synthesis Optimizations *commonhpx B -============================================ *commonhpx   *commonhpx   *commonhpx  ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx  | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx | LUT Combining | 2 | 6504 | 6506 | 0 | 1 | 00:00:27 | | Very High Fanout | 120 | 0 | 6 | 0 | 1 | 00:00:33 | | Fanout | 30 | 0 | 4 | 0 | 1 | 00:00:02 | | DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:01 | | Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:01 | | BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:01 | | URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:01 | | Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Total | 152 | 6504 | 6516 | 0 | 9 | 00:01:06 | ----------------------------------------------------------------------------------------------------------------------------------------------------------- *commonhpx   *commonhpx   *commonhpx T ?Phase 2.3.1 Physical Synthesis In Placer | Checksum: 180d110ed *commonhpx   %s * constraints2p \Time (s): cpu = 00:45:06 ; elapsed = 00:32:11 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx  %s* constraints2 lSLR(matching) [0-1] 105 86 118 253 530 629 663 504 156 71 32 72 Total: 3219 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx K 6Phase 2.3 Global Placement Core | Checksum: 1d0b6f043 *commonhpx   %s * constraints2p \Time (s): cpu = 00:46:24 ; elapsed = 00:33:12 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx D /Phase 2 Global Placement | Checksum: 1d0b6f043 *commonhpx   %s * constraints2p \Time (s): cpu = 00:46:26 ; elapsed = 00:33:14 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx q Phase %s%s 101* constraints2 3 2default:default2$ Detail Placement2default:defaultZ18-101hpx } Phase %s%s 101* constraints2 3.1 2default:default2. Commit Multi Column Macros2default:defaultZ18-101hpx P ;Phase 3.1 Commit Multi Column Macros | Checksum: 12c01f1a5 *commonhpx   %s * constraints2p \Time (s): cpu = 00:49:36 ; elapsed = 00:35:32 . Memory (MB): peak = 13824.258 ; gain = 0.0002default:defaulthpx  Phase %s%s 101* constraints2 3.2 2default:default20 Commit Most Macros & LUTRAMs2default:defaultZ18-101hpx  %s* constraints2 lSLR(matching) [0-1] 128 102 103 264 494 634 660 505 148 72 40 69 Total: 3219 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx 2 %s* constraints2 2default:defaulthpx Q )Ending Placer Task | Checksum: 1b305f990 *commonhpx   %s * constraints2r ^Time (s): cpu = 03:21:19 ; elapsed = 02:37:17 . Memory (MB): peak = 14088.500 ; gain = 264.2422default:defaulthpx Z Releasing license: %s 83*common2" Implementation2default:defaultZ17-83hpx  G%s Infos, %s Warnings, %s Critical Warnings and %s Errors encountered. 28* vivadotcl2 1092default:default2 72default:default2 02default:default2 02default:defaultZ4-41hpx ^ %s completed successfully 29* vivadotcl2 place_design2default:defaultZ4-42hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2" place_design: 2default:default2 03:22:112default:default2 02:37:532default:default2 14088.5002default:default2 264.2422default:defaultZ17-268hp x  H &Writing timing data to binary archive.266*timingZ38-480hpx D Writing placer database... 1603* designutilsZ20-1893hpx = Writing XDEF routing. 211* designutilsZ20-211hpx J #Writing XDEF routing logical nets. 209* designutilsZ20-209hpx J #Writing XDEF routing special nets. 210* designutilsZ20-210hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2) Write XDEF Complete: 2default:default2 00:02:522default:default2 00:00:552default:default2 14088.5002default:default2 0.0002default:defaultZ17-268hp x   The %s '%s' has been generated. 621*common2 checkpoint2default:default2g SD:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/impl_1/ngFEC_top_placed.dcp2default:defaultZ17-1381hpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2& write_checkpoint: 2default:default2 00:05:142default:default2 00:02:312default:default2 14088.5002default:default2 0.0002default:defaultZ17-268hp x  d %s4*runtcl2H 4Executing : report_io -file ngFEC_top_io_placed.rpt 2default:defaulthpx  lreport_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.559 . Memory (MB): peak = 14088.500 ; gain = 0.000 *commonhpx  %s4*runtcl2~ jExecuting : report_utilization -file ngFEC_top_utilization_placed.rpt -pb ngFEC_top_utilization_placed.pb 2default:defaulthpx  I%sTime (s): cpu = %s ; elapsed = %s . Memory (MB): peak = %s ; gain = %s  268*common2( report_utilization: 2default:default2 00:00:262default:default2 00:00:262default:default2 14088.5002default:default2 0.0002default:defaultZ17-268hp x   %s4*runtcl2e QExecuting : report_control_sets -verbose -file ngFEC_top_control_sets_placed.rpt 2default:defaulthpx  rreport_control_sets: Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 14088.500 ; gain = 0.000 *commonhpx  End Record